彼からプロポーズされたらとても嬉しくて、しばらくは余韻に浸っていたいですよね。しかし、入籍までのプロセスは意外と大変です。さまざまな準備や手続きが必要なので、ちゃんと入籍までの手順を予習しておきましょう。まずはお互いの両親へ報告と挨拶プロポーズされたらまず、お互いの両親へ報告し挨拶に行きます。結婚は当事者2人だけでするものではなく、お互いの家族とも関係を築いていくものです。そのため、両親への挨拶はとても重要なプロセスです。まずは、日程合わせから始めます。仕事の都合などがあるかもしれませんが、なるべく早めに挨拶できるようにしましょう。両親が遠方に住んでいる場合は、どこで会うかということも決めなければいけません。一般的には、家またはやや高級感のあるレストランや料亭などで行われます。レストランや料亭の場合はしっかりと予約しておきましょう。挨拶を行うときの服装は、ややきっちりとしたものを選ぶことをおすすめします。女性はきれいめワンピースや膝丈のスカート、ブラウスなど上品なものがおすすめです。また、髪が長い場合はまとめて食事の邪魔にならないようにしましょう。初めて両親に会う場合はとても緊張しますが、素直な気持ちで交流を楽しみましょう。すでに親しい場合でも、けじめとして報告するときは真面目に挨拶しましょう。記念日?誕生日?入籍日の決定入籍する日を決めるのも重要なプロセスです。入籍日の決め方はカップルによって異なり、正解はありません。付き合った記念日に入籍する場合や覚えやすいどちらかの誕生日、結婚式の日を入籍日とする場合もあります。また、語呂合わせで良い夫婦の日に入籍するカップルも多いでしょう。吉日や縁起日を調べて入籍日を決めるカップルもいます。最も良い日とされているのは「大安」です。どの日を入籍日にしても、2人にとって大切な日となるでしょう。2人でしっかりと話し合って入籍日を決めてくださいね。婚姻届の準備と提出入籍する日が決まったら、いよいよ婚姻届の提出です。まずは役所に行って婚姻届をもらいます。また、キャラクターなどの柄が入った婚姻届などはパソコンからダウンロードすることもできます。好みのものを使用しましょう。そして、印鑑や戸籍謄本、本人確認書類なども準備します。また、婚姻届には20歳以上の証人が2人必要です。両親にたのむ場合が多いですが、婚姻届を出す人との間柄は問われません。そのため、友人や恩師などにたのむ人もいます。必要なものが揃って証人も決まれば、記入していきます。緊張して間違えてしまうことが考えられるため、念のため予備の婚姻届も用意しておきましょう。しっかりと準備してスムーズに入籍しましょう入籍までのプロセスは意外に多くて大変ですが、その分結婚するという実感がわくでしょう。楽しくスムーズに入籍するために、事前の準備がとても大切です。初めての共同作業ともいえる入籍準備は2人で協力して進めましょう。また、証人などはまわりの協力も必要です。あわてないように事前に頼んでおきましょう。
2020年09月17日名古屋市北区!大人女性に人気の美容室SPLASH(スプラッシュ)名古屋市北区から全国にトレンドを発信しているオシャレな美容室SPLASH(スプラッシュ)♪スタッフは全員が全国レベルのコンテストで入賞する技術を持っています。髪質、顔型、年代問わず、どんな方でも似合うヘアスタイルをご提案させていただきますので、髪のお悩み等お気軽にご相談くださいね☆【2020年成人式】着付け&ヘアアレンジのご紹介☆2020年成人式を迎えられた皆様おめでとうございます!SPLASHでもたくさんのお客様をを担当させていただきました。今回は今年成人式を迎えられたお客様の着付け&ヘアアレンジをご紹介させていただきます。お着物だけでなく結婚式やパーティー、女子会など、特別な日のヘアアレンジはSPLASHにお任せください☆着付けはYUKAが担当させていただきます♪成人式① この投稿をInstagramで見る SPLASH (名古屋市北区美容室)スプラッシュ (@splash_beautysalon)がシェアした投稿 - 2020年 1月月14日午前5時43分PST 成人式② この投稿をInstagramで見る SPLASH (名古屋市北区美容室)スプラッシュ (@splash_beautysalon)がシェアした投稿 - 2020年 1月月14日午前5時39分PST 成人式③ この投稿をInstagramで見る SPLASH (名古屋市北区美容室)スプラッシュ (@splash_beautysalon)がシェアした投稿 - 2020年 1月月14日午前5時42分PST 成人式④ この投稿をInstagramで見る SPLASH (名古屋市北区美容室)スプラッシュ (@splash_beautysalon)がシェアした投稿 - 2020年 1月月14日午前5時50分PST 成人式⑤ この投稿をInstagramで見る SPLASH (名古屋市北区美容室)スプラッシュ (@splash_beautysalon)がシェアした投稿 - 2020年 1月月14日午前5時44分PST いかがでしたか?SPLASHでは今年13名の新成人のお仕度をさせていただきました♪来年の成人式のご予約、詳細はお店までお問合せください。【SPLASH】〒462-0807愛知県名古屋市北区御成通2-18 クレド御成通1F地下鉄名城線「平安通駅」徒歩3分■営業時間平日 10:00~18:30(パーマ・カラー)10:00~19:00(カット)土日祝 9:00~17:00(パーマ・カラー)9:00~18:00(カット)■TEL予約専用: 050-3759-1883■定休日月曜日 第2、第3火曜日■駐車場店舗前3台有り
2020年02月05日初めての着物体験「七五三」「七五三」は7歳 5歳 3歳の子供の成長を祝う日本の年中行事。神社などで「七五三詣で」を行いご報告、感謝、祈願を行う報告祭。お子さんとしては初めてのお化粧や着物に触れあえる素敵な機会。ROOTSでは子供が好きなスタッフが楽しい空間作りをお手伝いさせていただきます。一緒にお祝いさせてください![施術にかかる目安時間イメージ]3歳女子 ヘセット,メイク+ 着付け 約1,5時間5歳男子 ヘアセット,着付け 約1,5時間7歳女子 ヘアセット,メイク + 着付け 約2時間※上記の内容は目安なので余裕をもった時間を作りましょう。慣れない場所での着付けやヘアセットはお子様も戸惑います。時間に余裕をもって行動・ご予約下さい。ご予約の流れお電話でのご予約のみになります。その際に、下記について確認させていただきます。【確認事項】(1)ご予約日(2)出店希望時間(3)予約人数(4)着物の種類内容(5)着付け ヘアセット メインのメニュー確認尚、営業前のご予約も可能です。(平日11時前、土日祝10時前のご予約は1名様につき1時間毎に早朝料金+10%頂いております。)事前、お着物のお預かりシステム日頃、着慣れない着物は多くの方が忘れ物をしてしまいます。当日スムーズに着付けができるよう、足りないものがないかこちらで一度確認させて頂きます。そして、当日までしっかりお預かりさせていただきます。※注意事項・長襦袢には半襟を縫い付けてきて下さい。・肩上げを済ませてきて下さい。多少のことはこちらでも対応させていただきますが、お時間をとってしまいますので、事前にご相談下さい。七五三 3歳3歳着付け・ヘアセット・メイク¥12,000〜単品価格 [所要時間:約 1,5時間]着付け¥7,000ヘアセット¥3,000〜メイク¥2,000〜価格は税抜きです。※注意事項・全て、内容によって料金が異なります。・シャンプーは前日に済ませ、ご来店の際はしっかり乾いた状態でご来店下さい。・当日はご予約時間の10分前までにはご来店ください。・着替えをしやすいよう、前開きのお洋服でご来店下さい。・営業前のご予約も可能です。平日11時、土日祝10時前のご予約は1時間ごとに早朝料金+10% を頂いております。詳しくはスタッフまでお気軽にご相談下さい。七十五 5歳男の子5歳 男の子着付け・ヘアセット¥9,000単品価格 [所要時間: 約1時間]袴着付け¥8,000ヘアセット ¥1,000価格は税抜きです。※注意事項・内容によって料金が異なる場合がございます。・シャンプーは前日に済ませ、ご来店の際はしっかり乾いた状態でご来店下さい。・当日はご予約時間の10分前までにはご来店ください。・着替えをしやすいよう、前開きのお洋服でご来店下さい。・営業前のご予約も可能です。(平日11時前、土日祝10時前のご予約は1時間ごとに早朝料金+10%頂いております。詳しくはスタッフまでお気軽にご相談下さい。七五三 7歳7歳 女の子着付け・ヘアセット・メイク¥15,000単品価格 [所要時間: 約2時間 ]着付け¥9,000ヘアセット¥5,500〜メイク¥4,000〜価格は税抜きです。※注意事項・料金が内容によって異なる場合がございます。・シャンプーは前日に済ませ、ご来店の際はしっかり乾いた状態でご来店下さい。・当日はご予約時間の10分前までにはご来店ください。・着替えをしやすいよう、前開きのお洋服でご来店下さい。・営業前のご予約も可能です。平日11時、土日祝10時前のご予約は1時間ごとに早朝料金+10% を頂いております。詳しくはスタッフまでお気軽にご相談下さい。卒業式 イベント 袴年間行事、卒業式やイベントに合わせて袴の着付けを提案させて頂いています。トレンドを取り入れた着付けからヘアセット、メイクまで安心してお任せ下さい。卒業式 謝恩会 イベント用 袴着付け・ヘアセット・メイク¥21,000着付け・ヘアセット・メイク(ハーフアップ)¥20,000単品価格 [所要時間 : 約2,5時間]ヘアセット¥6,000ハーフアップ¥5,000メイク¥6,000袴(女性)¥9,000袴(男性)¥10,000価格は税抜きです。※注意事項・料金が内容によって異なる場合がございます。・シャンプーは前日に済ませ、ご来店の際はしっかり乾いた状態でご来店下さい。・当日はご予約時間の10分前までにはご来店ください。・着替えをしやすいよう、前開きのお洋服でご来店下さい。・営業前のご予約も可能です。平日11時、土日祝10時前のご予約は1時間ごとに早朝料金+10% を頂いております。詳しくはスタッフまでお気軽にご相談下さい。年齢や結婚の有無に関わらずどなたでも着ることができる訪問着年間行事、結婚式や七五三の母親としてイベントに合わせて訪問着の着付けを提案させて頂いています。年齢似合わせ、トレンドを取り入れた着付けからヘアセット、メイクまで安心してお任せ下さい。結婚式 イベント訪問着着付け・ヘアセット・メイク¥22,000単品価格 [所要時間: 約2,5時間]着付け¥10,000ヘアセット¥6,000ハーフアップ¥5,000〜メイク¥6,000 (ポイントメイク¥3,000〜)価格は税抜きです。《その他着付けメニュー》振袖¥13,000〜 留袖 ¥11,000〜付下げ¥10,000〜 色無地¥10,000〜男子礼装 ¥20,000〜花嫁 ¥40,000〜花嫁お色直し¥30,000〜※注意事項・料金が内容によって異なる場合がございます。・当日はご予約時間の10分前までにはご来店ください。・着替えをしやすいよう、前開きのお洋服でご来店下さい。・営業前のご予約も可能です。平日11時、土日祝10時前のご予約は1時間ごとに早朝料金+10% を頂いております。詳しくはスタッフまでお気軽にご相談下さい。早朝でもOK‼︎東京 渋谷で着物を着ましょう。「結婚式にお呼ばれされたけど……。」「七五三の行事はどうすれば?!」「いつもの美容院だと時間が間に合わない!」「しかも東京!」「着付けとヘアセット&メイクアップが全てできる美容院ってあるのかなぁ〜?!」お困りのあなた‼︎ROOTSではトータルビューティーサポートさせていただきます。東京 渋谷のアクセス抜群なエリアなので、慌てる事無く全て可能になります。朝早い予約時間もOK!行事やイベント、お出掛けやお呼ばれに合わせて着付けを提案させていただきます。年齢に合わせ、お客様一人ひとりにトレンドを取り入れ、着付けからヘアセット、メイクまで施術させていただきます。また、その場で着物を美しく着るための、立ち振る舞いもレクチャーします。今年の花火大会や夏祭り、浴衣でお出掛けしても良いですね。浴衣着付け ¥4,000〜日本人らしく着物を楽しみましょう。お洋服は「着たい」と思うと直ぐに着られますが、着物は「着たい」と思っても、すぐに着れないのが難点です。ハードルが高いっとイメージが先行してしまい、あきらめちゃう人も多いのではないでしょうか。着物はお洋服より社交の場で華やぐので、とても喜ばれる。また、流行などがないので、何年でも着用できるメリットもあります。ROOTSではお客様に着物を楽しんで頂くために、カジュアルに提案しています。現代では着物レンタルも充実している時代。何も気にせず一日中楽しめますよ。「着物を着てみたいな。」っと思ったら、ぜひROOTSに一度お問い合わせください。着物の良さをご紹介します!!!余談ですが、着物は平安時代の女性が着用していた、『唐衣裳装束(十二単)』の下に着用していた『小袖』が、現在の着物の原型とされるようです。平安時代からある着物を日本人らしく楽しみましょう!
2019年06月26日ライター&コラムニストharakoが、実際に既婚女性100人にインタビューをし、結婚までのプロセスや心境の変化をお伝えする連載です。第26回目は、10年間の月日を経て、結婚に至ったJさんのお話です。このままずっとこの人と? それとも、もう……。【実録♡ 結婚プロセス100人インタビュー 】vol. 26夫とは、かれこれ14年の仲になります。10年間の交際を経て結婚し、現在は子どもも生まれて結婚生活4年目です。この年数だけを聞くと、「一途ですね」「本当に仲が良い」「運命の人」なんてよく言われるのですが、実際に共に過ごしてきて感じるのは、「私の手を離さずにそばに居続けてくれた人」だと思っています。さかのぼれば、高校生の時。男友だちに「ねえ、誰か良い子いない?」と紹介をお願いされたことがありました。その頃私は、彼氏がいたので、私の一番の親友を紹介することにしたのです。……しかし、連絡をとったり遊んだりしていたらしいのですが、お互いに惹かれるものがなくて交際に発展することはありませんでした。それどころか、その男友だちは私のことをどうやら好きになってしまったみたいで(笑)。彼氏がいる私に対して、「ねえ、いいじゃん。別れて俺と付き合ってよ?」とグイグイ攻めてくる姿に、つい男気を感じて乗り換えてしまったのです(当時の彼氏には、申し訳なかった…! ごめんね)。そこから気づけば10年一緒にいた。交際当初はぐいぐいリードしてくれた彼ですが、気づけばお互いに10年経っていました。仕事も真面目だし、地元も一緒で過ごしやすい、定期的にデートもするし、別れる原因もない。家が近いので、あえて同棲をすることもなく、交際に不満は特にありませんでした。高校を卒業して、大学は別々の道に進み、環境や人間関係はどんどん変わるけれど、いつもそばにいるのは彼。良くいえば安心できる存在でしたが、悪くいえばマンネリ状態だったこともあり、アラサー手前で急に将来のことを考えるようになっていきました。「このままの状態で一緒にいるのかな?」「結婚を切り出すタイミングはいつかな?」「私の青春は、すべて彼に捧げたはず…」と、だんだん今まで考えていなかったのような気持ちが溢れてきたことを覚えています。私は待ち続けるべきか? 否や……。おそらく、5年〜10年と交際しているカップルで、彼がなかなか結婚を切り出してこなくて悩んでいる女性は共感していただけるのではないでしょうか。このまま関係を続けて、同じような毎日を過ごすのか? それとも、一度区切りをつけて新しいスタートをしたほうが未来を作れるのか。もちろん、私も迷いに迷いましたね。たまたま誘われた同窓会では、クラスが同じだった男友だちに「俺と将来を見据えて、付き合おうよ」と言われて揺らいだり、彼がいないところで飲み会やパーティに参加して視野を広げようとしたり。彼のことは好きだけれど、結婚は男性が決断するものだと思っていたので、「結婚に前向きな男性」が良いのではないか? と、フラフラする時期が続いたのです。浮気と言われたらそれまでなのかもしれないけれど……。「だって、プロポーズ待って10年だよ!!(叫)」と、心の中ではだんだん苛立ちさえ感じ始めていました。テレビCMや雑誌などの「ブライダル特集」がとにかく気になるようになって、「ああ、いいな。こんな華やかにプロポーズされたら嬉しいだろうな」と、キラキラした婚約指輪や真っ白なウエディングドレスに憧れながら、自分のタイムリミットと戦う毎日でした。仕事はできても、男は優柔不断説!しびれを切らした私がとった行動は、正直に心境の変化を彼にぶつけることでした。「ぶっちゃけ、結婚を考えていないならば、私はもう別れたい。長く一緒に連れ添った思い出はあるけれど、これ以上 “情がわく” のは耐えられないし…。最近は、『結婚前提にお付き合いを』と、男友だちから告白も受けているし、早めに決断してほしい」と、最近私が思っていることや、いろいろな男性と交流し始めていることまで包み隠さず話したのです。——慌てた彼は、すぐに指輪を後日渡してくれました。おそらく、私がこの決定打を言わなければ今もカップル状態か、別れていたかもしれません(笑)。彼のように、普段は仕事もできるし真面目だけれど、恋愛において優柔不断になりがちな男性は少なくないのかもしれません。彼曰く、「プロポーズして断られたらどうしよう」と、年月が経つたびに恐る恐る様子見をしていたらしいです(笑)。気づけば10年も経ってしまい、最終的に私から結婚を急かす形にさせて申し訳なかったとのことです。結婚する前は、“憧れのプロポーズ”に期待をしていた私ですが、女性から寄り添って思いを告げることは決して恥ずかしくないといまでは思います。女性からの逆プロポーズだったとしても、終わりよければすべてよしなのかも。結婚相手で迷ったら、考えたいこともし、私と同じように長年プロポーズを待っている女性にアドバイスするとしたら、人生の最後のことを考えてみてほしいと思います。途中でフラフラと “結婚できそうな男性” を探していた時期もあるのですが、最終的に結婚相手を決めた理由は「おじいちゃんとおばあちゃんになっても、隣にいるメージができるかどうか」でした。目の前のトキメキではなくて、穏やかに10年過ごし続けていた事実や、ケンカして「もういい!」と飛び出しても必ず解決するまで話し合ってくれる優しさは、出会ったばかりの男性に敵うはずもありません。人間って、たまに矛盾する時がありませんか? 本当は好きだけれど「もう嫌い!」と言ったり、本当は止めてほしいのに「もういい!」と言ったり、結婚したいのに「別に今は今で楽しいし」と強がったり……。私はそんな女でした。「この人と結婚したい」と思うならば、素直になって自分から歩み寄ってみる。プライドなんて、ちっぽけな心の壁ですよ。もし、長年の交際に終止符を打ちたいならば、「本音トーク」をぜひ明日にでもしてみてください♪ きっと、心境を打ち明けた“勇気”が“幸せ”に変わるはずです。お話を聞いて……。「おじいちゃんになっても、一緒にいる気がした」と最後にお話ししてくれたJさん。楽しさだけではなくて、どんな時でも手を離さない絆を信じられるかどうかが分かれ目になりそうですね。また、女性から結婚したいと正直に打ち明けてみるのもあり、という意見は、多くのアラサー女性に響くのではないでしょうか。草食な男性には、愛のムチでお尻をペシペシしてみてみましょう♪目的は、キラキラしたプロポーズではなくて、彼と夫婦になること。これがブレなければ、きっと実りある結果になること間違いなしです。©AleksandarNakic/Gettyimages©Motortion/Gettyimages©PeopleImages/Gettyimages©PeopleImages/Gettyimages
2019年01月05日ライター&コラムニストharakoが、実際に既婚女性100人にインタビューをし、結婚までのプロセスや心境の変化をお伝えする連載です。第25回目は、30歳手前で急に結婚願望が湧いたSさんのお話です。自己実現に夢中になりすぎた私に、突然芽生えた結婚願望……。【実録♡ 結婚プロセス100人インタビュー 】vol. 25もともと歌手を目指していた私。有名になることを夢見て、大学卒業後に上京しました。正直、世間でいう「婚活」「恋活」とは無縁で、むしろそんな恋愛なんてしていたら自分の時間がなくなってしまう! 女性にとって結婚のメリットって何かあるの? なんて、結婚に対してとても消極的でした。それを証拠に、私の恋愛歴といえば20代前半から後半まで6年近く彼氏なし。勢いと根性だけはあったので、ライブ活動をしたりボイストレーニングに通ったりと毎日少しずつ近く私の夢である「歌手」に向かって進んでいたのです。なぜ、アラサーになると「結婚」と言われるの?あれは、29歳の頃でしょうか。今まで放任主義だった父親から突然の連絡がありました。「なあ、そろそろお見合いでもどうだ? 婚活アプリも流行っているそうだけど、登録してみる?」と。いつも私の夢を応援してくれる父親だったので、まさかいきなり結婚をすすめてくるとは思ってもみませんでしたね。結婚プレッシャーに陥る理由としてあげられるのが、「両親や身内から言われることが増える」「周りの同世代と比較されて圧力を感じる」とよく聞きますが、その結婚プレッシャーがついに私にも……。しかし、どうしても結婚に興味が湧かずに「うーん」とモヤモヤ状態が続きました。だって、結婚するメリットや理由が私にはわからないのですから……。子どもを産むにはリミットがあると知る今まで「結婚」だけに意識が集中していた私は、「結婚=夫と暮らす」「結婚=家事をする」など、新たに家庭を持つことだけをイメージしていたのですが、父親のあるひとことで考え方がガラッと変わったのです。それは、「Sちゃん、子どもはほしくないの? もし、子どもがほしいなら、35歳までには出産をしておいたほうが良いと思うよ」と子作りのタイミングについてでした。父は医療関係に勤めていたので、女性の体については詳しいはずです。そんな父親から、自分の可能性を言われたときに、このまま結婚を考えずに自分のやりたいことばかりを追いかけていたら、選択肢を失うかもしれないと思ったのです。もちろん、独身で人生を楽しんでいる女性もいますし、結婚しても子どもがいない夫婦もいます。でも、子どもを産む・産まないの “選択肢” があるかないかで大きく人生は変わるのではないか? と気づいたのです。女性は、「母体」であり、年齢を重ねれば出産のリスクも増えるいっぽうだと……。友だちがキャンセルしても参加する意気込み!6年も彼氏がいなかった私は、とにかく男性と出会う場所に行こう! と急にアクセル全開にしてパーティを予約しまくりました(笑)。ほとんどは友だちと一緒に参加していたのですが、当日友だちがキャンセルしても「いや〜。本当は、友だちも来るはずだったんですけど、突然のキャンセルで…。お隣って、空いていますか?」と、話しやすそうな男性に積極的に声をかけたりもしました。そこで、当時私がアルバイトをしていた飲食店で扱っていたワインのメーカーに務めている男性と意気投合。「えー、このメーカーっておいしいですよね? バイト先で扱ってます〜」と仕事を絡めながら名刺をもらうことに成功した私は、後日連絡をしてそのまま夫もGET!!不思議なもので、自分が結婚に対してまったく意識していないときには、男性と小さな共通点があっても見つけられなかったのに、アンテナを高くした瞬間ビビッときたんです(笑)。出会いがないのではなくて、自分が意識してないだけ。周囲に男性はたくさんいるのに、興味を持っていないのは他の誰でもなく自分自身だったのです。運命のひとりを見つけるのが「結婚」ではない女性が結婚を決める理由は、さまざまです。好きな人と出会ったから生涯を共にしたい、女性がさらに輝くため、心の支えがほしい——。そんな中で私が選んだのは、女性の体を考えた「合理的な結婚」。そういっても過言ではありません。自分がアルバイトをしながら夢を追いかけるタイプだったので、結婚相手に選んだ夫は、「大手のメーカーに勤務」「誠実で家庭思い」「子どもが好き」。情熱的な恋愛をした結果、婚約指輪でプロポーズでじゃじゃーん!と結ばれたわけではないけれど、今は子どもにママとして歌を聞かせながら夫と平和に暮らしています。もし、私のように「なぜ、アラサーになると結婚について真剣に考えたほうが良いのか」と疑問に感じている女性がいるならば、出産を視野に入れてみてください。ぶっちゃけ、なんでもっと早く教えてくれなかったの?と今さらながら私は思っています(自分勝手かもしれませんが)。もちろん、結婚は自分のタイミングです。でも、でも……! それでも、もう一度あえて言います。女性の体には、出産の適齢期があります。自分には関係ない、結婚はまだしたくない、まだ自分は健康だし困ることはない、と思っているならば将来の選択肢を広げるためにも家庭を育むことができるパートナーを見つけることが大切だと思います。結婚への興味が出産だったとしても、夫と一緒にいる時間が長くなるほど愛情も増えていきます。知ってから好きになることもある。そんな、“お見合い風” 結婚もオススメですよ。あのとき、父親が教えてくれた母体の可能性に感謝しています。ありがとう!お話を聞いて……。恋愛に溺れるのではなく、冷静に結婚相手を選び子どもを産むことを選んだ「合理的な結婚」。結婚プレッシャーの意味がわからない、アラサーになるとなぜ周りが言うの?と疑問だらけだったSさんが方向性を変えた理由は、共感を呼ぶのではないでしょうか。恋愛結婚がすべてではない。これも、ひとつの夫婦のあり方だと学びました。素敵なご家庭を築いてくださいね♪©globalmoments/Gettyimages©Sasiistock/Gettyimages©LightFieldStudios/Gettyimages©Khosrork/Gettyimages
2018年12月19日ライター&コラムニストharakoが、実際に既婚女性100人にインタビューをし、結婚までのプロセスや心境の変化をお伝えする連載です。第24回目は、2年間不倫を続け、略奪婚をしたKさんのお話です。彼が愛を思い出したから、私の役割は終わるはずだった……。【実録♡ 結婚プロセス100人インタビュー 】vol. 24最近結婚した私の彼は、もともと妻子持ちでマイホームもある既婚者でした。沈黙を貫いた不倫相手で、年の差なんと20歳以上です。不倫をしていた事実を、今までずーっと隠していたので、この機会にお話ししたいと思います。さかのぼれば2年前、私はまだまだ仕事も頑張りたい、ビジネスチャンスがあれば掴みたい!と、熱い気持ちを胸に異業種交流会によく参加していました。とあるビジネスセミナーでスピーチをしていた彼に、「ぜひ、名刺交換を!」と追いかけていったのを覚えています。そこで彼に言われたのは、「スピーチしながら君を見ていたよ。こんなに目がキラキラしている女性は、久しぶりだ。何か目標や夢でもあるのかい?」って。私以外にもたくさん参加者はいましたが、なぜか彼は私をよく覚えていてくれたのです。機械的に働く姿が、悲しかった彼は会社経営をしており、アメリカと日本を行ったり来たりする生活で、息つく暇も無くかなり多忙を極めていました。家にも着替えを取りにたまーに寄るだけだとか……。何度か会っても、「僕の会社で働かないか?」「仕事に興味がないか?」など、とにかく仕事の話ばかりで、まるでロボットのように機械的でした。「今日は朝から打ち合わせ」「良い商談ができた」など、本来なら魅力的に感じるはずの仕事姿ですが、私にはどうしても悲しみを隠しているようにしか思えませんでした。そんなある日、私は思い切って質問してみたんです。「仕事を頑張っている姿は素敵だと思いますが、そんなに忙しくてどこで息抜きをするんですか? 結婚しているそうですが、家にも全然帰っていないなんて…。仕事ができても人を愛する心を見失っているのでは?本当に仕事だけで幸せですか…?」と。正直、そこまで踏み込まなくても良いとわかっていたし、余計なお世話だと思われても仕方がないですよね。20も年下で、私は未婚。「何も経験したことがないのに、お前に何がわかるんだ」って突き放されると思っていました。しかし、この言葉が機械的になっていた彼の心に響いたらしく、仕事だけの彼が少しずつ変わっていきました。結婚したのに家に帰らなくなった理由は?妻子持ちでマイホームもあるのに、彼が家に帰らなくなったのは何か理由があるはずです。夫婦生活をもう一度立て直すヒントがあるのではないか?と思った私は、状況を聞いてみたところ……。彼「7年前から、小さなケンカをよくするようになってね。仕事で疲れて帰っているのに、口うるさく文句やイライラをぶつけられると帰りたくなくなるよ。あとは、夜の営みを誘ったらきっぱり拒否されたことが最もショックだった。それも生理中だからとかではなくて『浮気しても良いし、お店に行ってもいい。私は、もうしたくない!!』と真顔で拒否されたんだよ。子育てで忙しいのもわかるし、家のことを任せているのは感謝しているけれど、身体のスキンシップが完全にないのは男として存在意義がない。おまけに『浮気してもいい』だなんて真顔で拒否されたら、俺はどこで男を満たすんだ?」と。恋愛ならまだしも、結婚しているとなれば、一生を誓ったふたりのはず。安易に他の女性と体の関係を持つのは、許されることではありません。彼は、「じゃあ、本当にいいんだな?」と奥さんに言われたことに従い、今の状態になった。そう考えると、恋愛の楽しさだけではない現実が垣間見えました。奥さんとばったり会ったこともしかし、夫婦関係が冷めているとはいえ、彼は既婚者です。明確な告白はなかったのですが、彼の愚痴や相談を聞いたり一緒に遊びにいったりする時間はどんどん増えるいっぽう……。少しずつ彼との関係が進むたびに罪悪感と愛情が入り混じり、「ああ。私は何をしているんだろう。きっとこのまま関係を続けていても未来はない。なんだかんだで、奥さんの元に帰るんだろう…」と、次第に彼を失う怖さと未来の自分を想像するようになっていきました。本気になる自分もいましたが、やっぱり気になるのは彼の奥さんや子どもです。7年間も冷戦状態とはいえ、戸籍上は夫であり父。彼に連れられて参加したパーティでは、奥さんが突然来たこともありました(もちろん、私は他人のフリ)。彼とふたりで会っているときは恋人気分でも、既婚者であることが頭から離れずに毎日のように悩みました。すぐに終わると思っていたこの関係が、まさかこんなに続くなんて……。もちろん、私から「離婚してほしい」とは一度も言ったことはありません。夫婦関係を壊したくて一緒にいるわけではなく、枯れている彼の心に再び愛が戻るのを応援したかった。ただ、それだけでした。子どもの言葉をきっかけに離婚そんな夫婦関係に終止符を打ったのは、意外にも子どもの言葉でした。成人を迎えたその子は、夫婦関係がうまくいっていないことや父親が荷物しか取りに帰ってこないことに幼い頃から違和感を感じていたそうで、「もう、パパたち一緒にいるのをやめたら?」と離婚をすすめたそうです。奥さんも彼も、夫婦関係が冷めていることは理解できているけれど、子どものために結婚を維持し続けていました。ですが、その子どもが、見かねて離婚をすすめてくるとは……。——こうして、私は2年間の不倫期間を経て、彼の再婚相手となりました。賛否両論ある私の“結婚プロセス”ですが、奥さんは夫へのイライラやスキンシップから解放されて、子どもも望んでいたことが叶いました。彼は仕事だけだった毎日から癒しや愛を再び見つけ、私は彼の隣にいることができています。なんども奥さんや子どもに対して罪悪感に押しつぶされそうになりましたが、今は離婚したことでみんなが納得できていると感じています。離婚後の彼は、マイホームを元奥さんにあげて、養育費も払い続けています。いまは、正式な夫婦になれた喜びを噛み締めています。彼の心に潤いを与え続ける妻でありたいと思っています。お話を聞いて……。それぞれが納得したうえでの決着ではありますが、前の奥さんとお子さんは深い傷を負ってから下したものだということは忘れてはいけません。人を傷つけて得たものだということを肝に銘じて、おふたりの道をしっかりと歩んでもらいたいものです。今回は、ちょっとディープでした!©bee32/Gettyimages©grinvalds/Gettyimages©g-stockstudio/Gettyimages©g-stockstudio/Gettyimages
2018年11月28日ライター&コラムニストharakoが、実際に既婚女性100人にインタビューをし、結婚までのプロセスや心境の変化をお伝えする連載です。第23回目は、中学生から憧れていた男性と結婚したMさんのお話です。“好き”って言ったら、全てが終わる気がして……。【実録♡ 結婚プロセス100人インタビュー 】vol. 23懐かしい話ですが、中学校入学式の時にひと目惚れしたのが始まりでした。地元の中学校だったこともあり、「あ、見たことある…」と幼いながらに恋したんです(笑)。しかし、その男の子はとにかく人気者で……。見た目もかっこいい、スポーツもできる、頭も良い、リーダータイプで頼り甲斐がある性格。恋をしていたのは、私だけじゃなくて学年中の女子から「憧れの人」としてモテモテだったのです。とはいえ、好きなものはしょうがない!と、一年に一回くらいのペースで「ねえねえ…」と恥ずかしい気持ちもありながら告白をした記憶があります。でも、ライバルは多数(笑)。「気持ちは嬉しいよ。ありがとう」なんて、芸能人みたいになっていく姿を見ながら、友達関係があるだけでも嬉しい!と思っていました。まるで「芸能人のファン」みたいだった中学生活はあっという間に過ぎ、高校も同じだったのですが、ただただ眺めているだけ。たまにご飯に行く友達。この距離感を続けたのです。そして、大学生になり、私は別に彼氏ができました。当時付き合っていた彼氏には申し訳ないと思っていますが、本当は一番じゃなかった——。もはや、その憧れの男の子への思いは「芸能人のファン」みたいな感覚でした。心のどこかで本命にはなれないと諦めているけれど、それでも一緒に時間を過ごしたかったのが本音。彼氏がいても、たまにご飯に行ったり飲みに行ったりしていたんです。でも、体の関係があるわけではないし、向こうも私のことは地元が同じの幼なじみとして接しているのを知っているから、変な心配はありませんでした。いざ結婚を決めたら、体と心がボロボロに……。彼氏が変わっても、“憧れの男の子”とは友達関係を続けていました。気づけば20代後半。好きなのは、いまの彼氏じゃない。一番好きなのは——。そう頭ではわかっているけれど、周りの女友達はどんどん結婚していくばかりで、焦りと諦めが両方入り混じって、プロポーズを受けた彼氏と結婚すると決めました。結婚式の準備もして、招待状も送って、同棲も始めて。普通なら幸せいっぱいのはずなのに、なぜか私の心は苦しくなるばかりでした。結婚式が近くなるにつれて、喧嘩も増えたり喘息やアトピーに悩まされるようになったり。明らかに体調も心も不安定になっていく自分に違和感を感じ、母親に相談しに行きました。返ってきたのは、「あんたの人生なんだから、無理しなくていいと思うよ」と背中を押すあたたかい言葉。私は、母子家庭で育ったので、弱った時に頼れるのは母親だけだったのです。吹っ切れた私は、すべてを白紙に戻すことにしました。招待状を送った友人たちには謝罪の連絡をしたり、相手の両親にも頭を下げたり。結婚式のキャンセル代は60万でしたが、「こちらで負担します」と伝えてなんとか終わらせることができました。なぜか、突然の連絡が来る張り詰めていた心が解き放たれたある日、あの “憧れの男の子” から突然の連絡があったんです。いつもは私から誘うので、向こうから連絡があるなんて不思議だな?と思ったのですが、夜のドライブに行くことに。もちろん、彼氏のことや結婚することは、“憧れの男の子” にはいつも秘密。恋愛の話を膨らませることは私からは絶対にしませんでしたし、私も“憧れの男の子”の恋愛事情はあえて聞きませんでした。だって、“良い友達関係”が終わってしまうと思ったから。今回、婚約破棄して私のメンタルがボロボロだったことも、“憧れの男の子”は知らないのです。朝方まで走り続けたあの日車に乗り込んだ私は、婚約破棄でボロボロになった心を引きずってはいたものの、笑顔で「どうしたのー?」と明るく振舞っていました。当てもなく高速道路を走り、気づけば周りは薄明るい朝方。「そろそろ帰ろうよー?」と私が切り出した頃、車を水辺の近くに停めていきなり言われたのは……。——「なあ、俺と結婚しない?」「え? は? え、冗談?」と私の頭はパニック状態(笑)。中学生の入学式からずっと憧れていて、付かず離れずの“良い友達関係”を必死に守り続けていたんですから。彼は私にとって「芸能人」みたいな人。こうやって会うのは「握手会」のようなもの。向こうは私に興味なんてさらさらないと思っていたのに……。嬉しいけれど、とにかくパニック。でも、もう朝だし!とあたふたしていたら、「俺、やっぱりお前しかおらんと思う。考えといて〜?」と家の前で降ろされて、さようならしました。あの奇跡は今も解明できませんが、もちろん返事はOK。“憧れの男の子”から“自慢の夫”に変わり、幸せを毎日噛み締めています。中学生からの片思いを、アラサーで叶えることができました。人生何があるかわかりませんね!お話を聞いて……。想い続けたら叶う。これは本当なようです! Mさんのすごいところは、友達関係を崩さないために、二人で会っても恋愛の話題はとにかく避けたこと。好きだとグイグイとアプローチしてしまいそうですが、程よい距離感を保ち続けたのがモテ男くんには効果的なようです。お幸せに♡©Elitsa Deykova/Gettyimages©bee32/Gettyimages©Bojan89/Gettyimages©wundervisuals/Gettyimages
2018年11月03日ライター&コラムニストharakoが、実際に既婚女性100人にインタビューをし、結婚までのプロセスや心境の変化をお伝えする連載です。第22回目は、アラサーまで彼氏がいなかったHさんに突然訪れた結婚のお話です。恋愛経験がないまま、大人になってしまった……。【実録♡ 結婚プロセス100人インタビュー 】vol. 22実は私、20代後半まで彼氏ができたことがなくて……。(夜の)初体験はあるんですが、言葉なく身体から入ったせいか結局「交際」まで発展せずにそのまま終了。「恋愛経験」と呼べるほどのエピソードもなくて申し訳ないのですが……(笑)。とはいうものの、私は小さい頃から働きたい願望がすごく強く、中学を卒業後にすぐ美容学校に入り免許を取得後に美容師として16歳から働いていたのです。両親がそうしなさいと言ったわけではなくて、これは自分で決めたこと。早く自立したい、社会に出て働きたい、そう強く志していた私は、計画通りの人生を歩んでいました。青春は二の次!仕事にさらなる熱を理屈ではわかっているし、自分で選んだ道だけど、やっぱり同い年の友人が高校や大学に進むにつれて「華のキャンパスライフ」を送る姿にモヤモヤすることもありました。少し、羨ましかったのかも……。でも、私には美容師という確立したい仕事がある。夢や目標に向かって突っ走るのみ!と常に前を向いて生きていこうとした20代後半に、上京して都心で自分の技術をもっと磨こうという想いが溢れました。上京するために部屋探しを始めたのですが、物件の見学や段取りなどのために往復するのが大変だと少しひるんでいました。そんな時、昔から知っている男友達が「男同士でルームシェアしているんだけど、よかったら部屋が決まるまで泊まりにくる?」と声をかけてくれたんです。ルームメイトと二人きりにいくら男友達といっても、ひとりで住んでいる部屋に何泊もするのは気が引けますが、他にも夜勤で生活リズムが逆転しているルームメイトがいるのと、部屋も別々で顔を合わせることもないとかで……。数日、お世話になることにしたのです。どうやら、そのルームメイトはバーテンダーで、帰ってくるのはいつも朝方。直接的に関わりはないけれど、泊まらせてもらっている身の私は、挨拶がてら職場のバーに、男友達と一緒に遊びにいくようになりました。その後、自分の新しい部屋も決まり、出て行こうとしたある日、バーテンダーのルームメイトと二人きりになったのです。バーでは会ったことがあるけれど、部屋で会うのは初めて。き、きまずい……!どうしよう! どうしよう! と焦りながらも、「あの、数日間お世話になりました。また、お店にご飯を食べにいってもいいですか?」なんて、慌てて挨拶したのを覚えています。15歳から飲食の修行をしていたひょんなきっかけで出会ったそのルームメイトは、なんと私と同じくらいの年齢から高校に行かずに仕事に打ち込んでいたとか。どこか負い目というか他人を羨ましくも感じていた「青春」を、同じように仕事に注いできたということで、「もっとこの人と話してみたい」「どんな将来を描いているのか知りたい」と興味が湧いてきました。そこからは、まめに連絡を取り合ったりお店にご飯を食べにいくことを繰り返したりと、話は弾むいっぽう。そして、出会って2か月で「家族になりたい」と結婚前提の告白を受けました。順調に結婚し今も幸せな毎日を送っていますが、結婚して本当によかったなと思うのは、全ての“選択肢が似ている”ことです。やはり、若い時期から仕事に打ち込んでいるからこそ、仕事への理解や熱量がぴったり合うんです。新しい技術を身につけたいから学校に通ってもいい?と聞いてもいつも応援してくれるし、家事がおろそかになっていると洗濯しておいてくれることもありました。しかし、仕事に打ち込みたい気持ちがわかるからこそ、まじめに頑張っている夫のサポートもしたい。そんな気持ちから、今は決まった時間に勤務する美容師ではなく、自分のライフスタイルを柔軟に調節できるように独立してサロンを持ち、家庭と仕事のバランスを整えています。「結婚したらキャリアがなくなる」「自分の時間がなくなる」なんてよく言いますが、それは自分の考え方と結婚相手の理解度によると思います。相手のせいにしないで、自分で時間を作る工夫をしたり、相手にも自分の価値観を知ってもらったりすることがとても大切です。逆に私も、夫が仕事をしやすい環境を守りながら結婚生活を続けていきたいと思います。これからも、よろしくね!お話を聞いて……。みなさんお気づきですか? そうなんです、Hさんは、初めてできた彼氏とそのままスピード婚をされた、「初の彼氏=夫」という素敵な縁。恋愛経験の多さより、いかに相手と真っ直ぐ向き合えるかが、ぴったりのパートナーを見つけるコツだと学びました! 素敵なお話、ありがとうございました〜!©jacoblund/Gettyimages©DjelicS/Gettyimages©pixdeluxe/Gettyimages
2018年11月01日ライター&コラムニストharakoが、実際に既婚女性100人にインタビューをし、結婚までのプロセスや心境の変化をお伝えする連載です。第21回目は、お互いが同じタイミングで婚約破棄をしたSさんのお話です。張り詰めていた糸が切れた瞬間……。【実録♡ 結婚プロセス100人インタビュー 】vol. 21あれは、私が20代後半にさしかかろうとしていた時の話。プロポーズを受けて、お互いの両親にも挨拶を終えて、結婚する準備を進めていたのですが……。“結婚”することに、ワクワクを感じない妙なモヤモヤがあって、結婚準備が進む日々を正直楽しめていない自分がいました。というのも、彼がかなりの束縛タイプだったのです。出かける時も、「今日は誰とどこに行くの?」と確認されて、電話をしていたら「まさか、男じゃないよね? 声を聞かせてよ」と性別確認までしてくる始末。「まあ、愛されている証拠かなー?」と、これも幸せのひとつだと信じていたのですが、エスカレートする束縛に逆らうように、「独身最後だし!」と夜な夜な遊びに行くことで発散するようになっていく私がいました。「結婚するんだし、もういいじゃん! 自由にさせてよ」独身最後の贅沢な時間を過ごさせてほしいという願いから、荒れ狂うように飲み会やコンパ、会社の同僚たち(男性)と、彼には嘘をついて遊び歩く毎日を繰り返していた矢先——。その嘘を知ってか知らずか、突然、彼から「もういいわ、勝手にしろ」と突き放されてしまったのです。彼は東京、私は大阪に住んでいたのですが、怒った次の日に新幹線で帰っていく彼を必死に追いかけました。「ごめん、そんなつもりじゃない! 結婚するって決めたし、覚悟はできているよ。でも、独身最後だと思ってつい……」……時すでに遅し。私も大阪から東京に追いかけて行ったのですが、「今ついたよ!どこにいる?」と鬼のように連絡しても、「は? もういないよ」と冷たーーくあしらわれてしまいました。今でも覚えているのですが、その時あまりの虚しさに駅の地べたに座りこんでボーッと上を見ながら感じたひとつの答え。それは「結婚ってこんなに心が苦しくなるもの?」と虚しい疑問。幸せになるはずなのに、「マリッジブルー」とか「束縛から逃れるため」「最後の独身生活」なんて、バカバカしい。——私も、もう疲れたよ。こうして婚約破棄をし、結婚に対しての執着や雑念をなくしてリセットしていきました。「婚約破棄? ああ、俺もさ……」落ち込んでいた私は、友達を交えて数人で食事をしたり飲んだりすることで、ぽっかり空いた穴を埋めていきました。そこで、出会ったのが偶然私と同じ時期に婚約破棄をしていたひとりの男性。年下のかわいい彼女にベタ惚れだったようで、「贅沢な暮らし」「素敵な旅行」を理想とする彼女に尽くしまくっていたとか。ちょっと無理してでもプレゼントを買ってあげたり、休日に仕事を増やしてまでお金を稼いだり……。聞けば聞くほど、素直で一途な男性だったのです。もちろん、私も結婚破棄した時のことを思い出すと、相手だけが悪いとは思いません。しかし、あまりにも窮屈な束縛に耐えられなかったことに反発してしまったのと、毎回報告しなければ遊びに行けない状態に、結婚した後の生活に不安しか見えなかったんです。その同時期に婚約破棄した男性も、私と同じ理由が決め手だったとか。「かわいいし、喜んでくれる彼女は大切にしたいけれど、結婚した後も俺は幸せを感じ続けることができるだろうか?」と、我に帰った時、長くは続かないかもしれない。これが本音だったと言います。この価値観に意気投合し、お互いの辛かった経験を素直に共有できたことで、すんなり私たちは新しい結婚相手を見つけることができました。結婚してから幸せになれる相手って?私も夫も、一度は結婚を本気で考えた経験があるからこそ、「結婚はスタート」だと強く思っています。もし、「長く付き合ったから」「どうしても好きだから手放したくない」という “粘り強さ” で結婚に縛られているならば、その人と10年後も20年後も一緒にい続けることができるのかを考えてほしいですね。私たちは、あの時の婚約破棄がお互いにあったから、ほどよい距離感とそばに居てくれるパートナーへのありがたみを感じることができています。楽しいことだけじゃなくて、悩んだことや挫折、落ち込んだ気持ちも共有しながらこれからも結婚生活を続けていきたいです。お話を聞いて……。夫婦は、「お互いの気持ちのバランスが保てていることが大切」だと、Sさんのお話を聞いて感じました。また、“結婚”の言葉に縛られてハッピーになれないと想像できるなら、それは自分にとってタイミングじゃない証拠。このお二人は、出会うべくして出会ったバランスの良い関係だと思います。これからも、お幸せに……♡©fizkes/Gettyimages©skynesher/Gettyimages©TriggerPhoto/Gettyimages
2018年10月23日夏祭りや花火大会、縁日など夏には浴衣でお出かけしたいイベントがたくさんありますよね。でも毎回誰かに着付けてもらうのは手間だし大変。自分でさらっと着こなして浴衣姿でいつでも出かけられるように、今年こそ浴衣の着付け方をマスターしませんか。今回は、浴衣の着付けに役立つ情報をご紹介。美しい浴衣の着こなし方を身につけて、大和撫子への第一歩を踏みだしましょう。ひとりでも簡単! 浴衣の基本の着付け方難しく感じてしまう浴衣の着付けですが、手順を覚えてしまえば意外と簡単に着られます。ひとりでも綺麗に浴衣を着付ける手順をご紹介。大人ならではの美しい浴衣の着方を、着付け一級講師である南出千賀子先生に教えていただきました。綺麗に着付けるコツは、上前と下前の形を裾すぼまりに整えることと、衣紋(後ろ襟)を抜くこと。しっかりと整えることで浴衣のシルエットが美しくなります。おはしょりの形やしわをしっかり伸ばすのもポイントです。綺麗に着こなすための「浴衣補正術」浴衣を着る際に「補正」が必要なことをご存じですか。筒状に着こなす浴衣は、普段の洋服とは違い、ウエストを引き締めたりせず、メリハリのない体型のほうが着崩れせず綺麗なシルエットをキープできます。補正なしで浴衣を着て帯をキュッと締めてしまうと、ウエストに凹みができ、お尻部分にしわや膨らみができてしまい残念な仕上がりに。着崩れの原因にもなってしまいます。補正に必要なのはタオル3枚と腰紐1本だけ。ウエストの凹みを補うように巻いていきます。体型にあわせてタオルの枚数は調整してくださいね。補正をしっかりするだけで随分とシルエットに差がうまれます。浴衣の綺麗な着こなしを身に着けて周りとも差をつけましょう。帯結びの基本「文庫結び」をマスター!浴衣の着付け方をマスターしたら次は帯の結び方をマスターしましょう。浴衣を着られても帯は結べないという方は結構多いのではないでしょうか。リボンのような形がかわいい帯結びの定番「文庫結び」は、初心者でも挑戦しやすく、一度覚えてしまえばアレンジも効く結び方。結んで畳んで巻きつけるだけで簡単にできるので、ぜひ一度チャレンジしてみてくださいね。グッと垢抜ける大人の装い「浴衣の着物風アレンジ」より上品な着こなしを楽しみたい人におすすめしたいのが、浴衣を着物風にアレンジする着こなし方。コツは和装小物を取り入れることです。半襟をつけて重厚感を出してみたり、帯を太鼓結びにするだけでも十分雰囲気が変わります。ほかにも、帯揚げをしたり、帯飾りをつけたりしてアレンジするともっと着物の雰囲気を楽しめます。足元も、下駄ではなく草履に履き替えて足袋を履くとより着物テイストに仕上がりますよ。ちょっとした小物使いで大胆に印象チェンジして、いつもとは違う浴衣姿でお出かけを楽しんでみませんか。覚えておきたい! 出先での浴衣お直しテクニックどんなに気をつけていても、動き回ったり、人混みに揉まれたりすると着崩れてしまう浴衣。はだけたり、ずれたりしてしまうとせっかくの凛と美しい浴衣姿もなんだかだらしなく残念な姿になってしまいます。そんなときに困らないよう、役立つお直しのテクニックを身に着けておきませんか。しっかりと応急処置を身に着けておけば、どんなに着崩れてしまっても対処でき、すぐに美しい浴衣姿を取り戻せます。着崩れを気にせず思いっきり安心して遊べるように、ぜひマスターしてくださいね。着終わったら大切に保管「長持ちする浴衣のたたみ方」着終わったあとの浴衣は綺麗にお片付け。浴衣の着付けを覚えたら、最後は一般的な浴衣の綺麗なたたみ方「本だたみ」をマスターしましょう。浴衣は形崩れしないようにたたみ方が存在します。テキトーにたたんでしまうと変な所にしわができたりして、つぎに着るときに大変な思いをするはめになるかも。「本だたみ」は縫い目に合わせて形を整えながらたたんでいくので、つぎに着るときまで綺麗なかたちを維持してくれます。お気に入りの浴衣をしっかりと管理して、来年も綺麗な浴衣姿を披露しませんか。
2018年07月23日女優の宮崎あおいが16日、都内で行われた総合転職情報サイト「マイナビ転職」新CM発表会に出席。今年新たに挑戦したいことを聞かれ、「お着物の着付けをあらためて学びたい」と話した。宮崎あおい宮崎は、22日より放送される「マイナビ転職」の新CMに、主人公の"謎の旅人"役で出演。宮崎演じる旅人が、キャリアチェンジや転職などあたらしい生き方を選択する人たちにエールを送る。宮崎は女優という仕事の魅力について、「子供の頃からものをつくるという中に関わらせていただいて、どれだけ現場が大変でもスタッフの方が生き生きとキラキラとお仕事をしていて、好きなことを職業にしている人はこんなにいい顔をされているんだなと子供ながらに思っていた」と語り、「自分もそういう顔をして仕事ができる素敵な大人になりたいなとずっと思っています」と語った。そして、仕事において「楽しむ」ということを一番に大切にしていると言い、「楽しいと思える環境に自分がいて、いろんな方との縁があって今があるので、いろんなご縁を大切にしながら一生懸命やっていきたいなと思っています」と話した。また、子供の頃の夢について「中学生まで保育士になりたいと思っていました」と明かし、「自分が保育園に通っていた頃にいい思い出がたくさんあったっていうのは大きいのかなと思います。子供たちはかわいいですし、自分も子供だったんですけど、すごく楽しそうなお仕事だなと思っていました」と優しい笑顔を見せた。今年新たに挑戦したいことを聞かれると、「お着物の着付けをあらためて学びたい」と答え、「2年くらい前に自分で着られるようになったんですが、今年着ようと思ったらすっかり忘れてしまっていて。お着物が身近にある生活に憧れているので、自分で着てお出かけするのが目標です」と説明。「お正月に家族に会う時にお着物を着てみんなに会いたいと思ったのが最初だったので、お祝いの場所とかの席できれいに着られるように目指しています」と話し、司会者から「来年のお正月に?」と聞かれると「目標です。頑張ります」と笑った。会見の最後には、昨年12月23日にV6の岡田准一と結婚した宮崎へ、報道陣から祝福の言葉がかけられ、「ありがとうございます」と笑顔。「幸せですか?」と尋ねられると、幸せそうな笑顔で会釈した。
2018年01月16日KINUJO化粧品で欠かせない存在となっている「シアバター」。美容メーカーKINUJOは、2017年9月8日にコールドプロセス方式で生産した未精製「100%シアバター」の発売を開始した。「KINUJO SHEA BUTTER(キヌージョシアバター)」一般的にシアバターを生産する場合には、熱処理をおこなう。そのため、多くの有効成分が消えてしまうのだという。しかしながら、今回販売となる「KINUJO SHEA BUTTER(キヌージョシアバター)」では、熱処理を加えず、低温で生産する「コールドプロセス方式」を採用。オレイン酸やステアリン酸など、肌に大切な成分が壊れずに含まれている。スタイリング剤としても近年、ヘアスタイリング剤にも「オーガニックワックス」と呼ばれる物が登場しているが、「KINUJO SHEA BUTTER」も髪のスタイリング剤として適した製品。「KINUJO SHEA BUTTER」は、100%未精製のため、低温だと硬くなってしまう。これを手の中で温めると、体温で溶け、硬さを調節しながらスタイリング剤として使用できるのだ。手の平に残ったシアバターも、手やリップに保湿剤として使うことができる。肌同様、頭皮にも優しい成分でできているため、洗い流さなくても問題ない。「KINUJO SHEA BUTTER」は、15gで1,900円(税抜き)、70gで3,900円(税抜き)となっている。(画像はプレスリリースより)【参考】※コールドプロセス方式のハンドメイド「100%シアバター」が発売開始。
2017年09月25日ライター&コラムニストharakoが、実際に既婚女性100人にインタビューをし、結婚までのプロセスや心境の変化をお伝えする連載です。第6回目は、二度目の国際結婚を経て、幸せを掴んだSさんのお話です。初めての海外旅行で、英語に目覚める。【実録♡ 結婚プロセス100人インタビュー 】vol. 6大学時代、友達と初めてハワイ旅行へ行った時のこと。私は英語を多少学んでいたから、「なんとかなるだろう」なんて思っていたんですよね。でも、思いのほかスムーズに話せなくて、友達に頼りっきり状態……。日本人女性が人気で、たくさんの男性に声をかけられましたが、もっとコミュニケーションが取れたら、楽しいんだろうなーなんて、モヤモヤを残したまま日本に帰国したのを覚えています。その後、悔しい気持ちから、もっと英語を習得したい!と目覚めた私は、英会話学校に週2で1年間通い、映画は英語で観るようにしたり、洋楽を聴いたり。あとは、英語カフェやブリティッシュバーなど外国人が集まる場所に積極的に顔を出して、つながりを持つようにして行ったんですよね。それが功を奏して、2年後にはだいぶ話せるようになった気がします(この語学を真剣に学ぶ期間がなかったら、外国人パートナーと深い関係になるのは難しかったと感じますね……)。そんなある日、たまたまバーにいた時に、友達と一緒に来ていたアイルランド人の男性と意気投合。アイルランド人独特の、アグレッシブで情熱的なところや、愛情表現が豊かなところに惹かれて同棲し、結婚までたどり着きます。気性の激しさと、ケンカ三昧の毎日に終止符。……しかし、はじめのうちは誠実に働いていた彼ですが、だんだん働かなくなってしまったり、お酒を飲みだすと止まらなかったりと、将来が不安になる言動が激しくなっていったのです。夜中、急に「息ができない! 助けて!」と騒ぐこともありました。一瞬、血管系の病気か?と思ったのですが、どうやら先生の診断は「パニック障害」。日本の環境や文化になじめない部分が多くあり、ストレスがたまった挙げ句に引き起こしてしまったらしいんですよね。ここから本当に苦しい時期が続いたんですが、パニック障害の発作が起きないように薬を飲み始めると、平衡感覚がなくなり、思考を止めるという状態になり……。相手への配慮や気遣いができなくなってしまうことが、増えてしまいました。そのなかでも、印象的だったのが「今夜もなぜか帰ってこないなー?」なんて心配していると、身長187センチの100キロのガッチリ体型の彼が、ボロボロに怪我をした状態で朝方に帰ってきたこと。「どうしたの?!」と聞いても、お酒に溺れて記憶もない。薬の影響か、まっすぐ歩けなくて転んだ様子だけ、何となくわかる。そんな彼の姿を幾たびか見るようになっていきました。落ち着いている時は、良い彼なんですが、いつ薬の反動が出るかわからず、私もヒヤヒヤする毎日が続き、「楽しい恋愛」から「疲れる恋愛」に変化してしまったのです。周りの友人たちも手を焼くばかりで、ケンカが始まると水を掛け合ったり、ギャーギャー怒鳴りあうことは日常茶飯事。「もうだめだ……!」と確信した私は、子供が本当は欲しかったのですが、この人とは子供が作れないと思い、離婚を決意。情もあったけれど、将来を考えてお別れすることにしたのです。救ってくれたのは、几帳面で奥手なアメリカ人だった。離婚してからは、何だか気持ちもグッタリ……。しばらくの年月は、男友だちとご飯や遊びにいく軽いデートはするけど、本気のパートナーを見つけるには、腰が重たくなっていましたね。もう、あの状況には戻りたくないって気持ちが、本音だったかもしれません。そんなある日、私の友人がたまたま連れてきた、控えめなアメリカ人男性と出会います。すっごく明るくてクレイジーな友だちだったので、連れてくる友だちも、きっとそんな感じかな?と思っていたら、全くの正反対で初めは驚きましたよ。複数で一緒にいても、無口で静かで……。正直「何この人、全然つまんない!」って感じるほど、私には少し物足りなかったんです(笑)。でも、地元のコミュニティは小さいし、近所のバーで会ったりしていくうちにメッセージのやりとりをするようになりました。恋愛にちょっとエスな私は、いつも向こうから熱烈に求められることが多くて、待ちスタイル。“あなたから来なさいよ” なんて、ちょっと女王様系だったんです(笑)。そんな私に、メッセージで盛り上がっている真っ最中なのに、アメリカ人の彼はこう言うんですよ。「ごめん、今から家事をするからまたね!」とか、週末なのに「もう、寝るね!」とか。えええええええっ!?遊びにも行かずに男が家事って……、みたいな(笑)。何だか不思議な人だなーって、印象が強くて、興味が湧き始めてしまったんですよね。その年の私の誕生日会に、彼も来てくれたのですが、酔っ払った私をタクシーで家まで送って行ってくれたのことがきっかけで、2人の仲が一気に縮まったのを覚えています。彼の丁寧で律儀な性格に、包容力を感じて、よく話を聞いてみたら、彼は大学の研究員として働いている “超” がつく、真面目な人だったんです。だから家事もこなすし、明日のために遊ばずに早めに就寝するんだー!なんて、変に納得したっけ(笑)。ニューヨークで子供と幸せに暮らす日々♡ノリと感覚だけで生きがちな私とは正反対の彼に徐々に心を開くようになりお付き合いがスタート。この人となら、将来を考えて子供も産みたいなと思えるようになりました。そして、彼がニューヨークに移住することをきっかけに、再婚。この人についていく決意をしたんです。よく、結婚した後に旦那さんの愚痴をこぼす女性が多いけれど、私はむしろ逆。彼に頼りっきりで尊敬の念は増すばかり。前の旦那と比べても、本当に几帳面で何事もまずは計画してからスタートしないと気が済まないところがあり、「この人についていけば、間違い無いよね!」なんて大船に乗った感覚ですよ(笑)。楽しさも大事だけど、将来を考えるなら計画性って大事だなと実感しています。今は、ニューヨーク近郊で旦那の念願のマイホームを購入し、子供二人と暮らしています。旦那は仕事のために、マンハッタンに通勤する日々ですが、子どもにおやつを用意してくれたり、寝かしつけをしてくれたり。私が夜遅くなった時はキッチンがキレイに片付けてあるし、もう最高♡ 忙しくても、家庭をとっても大事にしてくれるんですよ。あの時、無理してアイルランド人の旦那と居続けていたら、今の幸せはなかったですよね。無理してまで、結婚生活を続ける必要ってないと思うんです。自分の気持ちを大切に、本当に心から信頼できる人と子供を作ることが大切だなーと感じています。これからも、良い国際結婚生活を続けたいと思います♪love you!お話を聞いて……。恋は盲目、恋に溺れる、なんて言葉がありますけど、結婚には冷静な目を持つことが大切と思わされたお話でした。彼のマイナス面に目をそむけてプラスの部分を見ようとする姿勢もアリですが、あまりにもマイナスが目立つようならば、それと向き合い、改善できないようなら別の道を歩むことも賢い選択といえそうです。そして文字通り、愛に国境はない、ことも再認識できました。世の中には実にさまざまな人間がいるものです。自分が日本人だから相手は日本人と決めつけず、グローバルな目を持てば、運命の人と出会う可能性がより高くなるのかもしれません。好きになった人が、たまたま外国人だった。そんな視点を持つのも悪くないですよね。だって、地球上の男は……35億! ですもの。(C) Ridofranz/Gettyimages(C) monkeybusinessimages/Gettyimages(C) XiXinXing/Gettyimages(C) FeelPic/Gettyimages
2017年09月11日ライター&コラムニストharakoが、実際に20代・30代の既婚女性100人にインタビューをし、結婚までのプロセスや心境の変化をお伝えする連載です。第5回目は、大学時代に遭遇したモテ期真っ只中に、あえて守備範囲外の彼を選んだMさん30歳のお話です。大学で到来したモテ期でウハウハ【実録♡ 結婚プロセス100人インタビュー 】vol. 5先に本題に入る前に、今までの恋愛傾向を少しお話してみたいと思います。どちらかというと、影があって、ミステリアスな感じの男性が好きだった私は、彼氏ができるたびに不安になったりのめり込んだりと、いつも身がもたない状態。「恋愛って、疲れちゃうなー」と感じていた私は、長く付き合える人が良い!と身構えていたところだったのです。そんな大学時代のある日、なんとモテ期到来。次から次へとデートに誘われるし、半年で告白を8人にされるという快挙を成し遂げました(笑)。そのなかからスムーズに彼氏ができたのでは?と感じるかもしれませんが、答えはNO。デートした当日に告白してきて、「時間が欲しい」と言っても即答できないならイヤ! という信頼に欠ける男性や、良い感じの雰囲気になっても手を握った感じがフィットせずにフィーリングが合わない男性など、「この人が彼氏!」という決め手が見つからないまま、結局全ての告白を流してしまいました。素朴で穏やかなサークルの先輩に相談する恋愛も頑張りたいけど、スキルアップにも興味があった私は、在学中に留学を考えていたのです。あまり周りに相談することもなく準備や知識をつけようとしていたのですが、そんな時に、同じサークルの1つ上の先輩が、私が行きたかったところへ去年留学していたという情報を運よくキャッチ。サークル内のメンバーは40人ほどいたので、ひとりひとりと深く話す時間がなかったのですが、留学経験のことがきっかけになり、その先輩に「実は、私も留学を考えていて……」と不安なことや予備知識を相談するようになったのです。素朴で穏やかな感じの性格な先輩は、まったくと言っていいほどタイプではないし、むしろ頼れる相談役みたいなポジションでしたね(笑)。その後、キャンパス内のカフェやご飯スペースで、一緒に時間を過ごすようになっていきました。大学近くの寮に住んでいた私は、友人たちと過ごす時間が多く、恋愛話にも花を咲かせていたのですが、「最近彼氏ができたんだよね〜」と友人の話を聞いて、ちょっぴり嫉妬……。私も欲しいなとモヤモヤしていたところに、例の先輩から一本の電話がかかって、小悪魔心が出た私は、「先輩に告白させよっかな?」と企み始めました。「なんか〜、先輩と私ってけっこう仲良しだと思うんですけど……。先輩って私のこと、どう思ってるんですか?」なんて、自分からグイグイ言っちゃったのを覚えています(笑)。本音は、友人に負けたくなかったから告白させただけ。3か月もしたらすぐに別れるかなーなんて思っていた私は、恋愛にピュアそうな先輩を気軽に引っ掛けた感はありましたね(今だから言える、ごめんね)。気づけば数年の月日が経ったが、一瞬の溝が別れに繋がった“3か月で別れる” と思っていたのにも関わらず、気づけば彼の優しい性格にすっかり甘えるようになっていきました。元々、甲状腺が弱かった私は、大学中に病気が悪化。そんな時も、病院を探してくれたり看病をしてくれたりと、健気に気遣ってくれる姿がだんだん愛おしく感じていったのです。そして、お互いが社会人になった頃、彼の職場環境が良くなかったのか、会うたびに仕事の愚痴が酷くなっていきました。内心は、「せっかく一緒にいるのに、また愚痴? たまには良いけど、つまらないなあ……」と気持ちが冷めていく感覚。そして、ふと気が緩んだ瞬間「もう別れよっか」と一方的に振ってしまいました。今思えば短い間でしたが、4か月間の別れが続きます。その間、証券会社勤務のエリートビジネスマンと関係がありました。カッコイイけど自分中心。心の内側が読めないし、察してくれない。そして、金銭感覚も合わない彼の派手さに、徐々に元彼の優しさが恋しくなっていきました。ちょっとぐらいの愚痴は、かわいいものだな〜なんて思えるようになったのも、この数か月があったからだと思います。メールではなく、手書きのお手紙がポストに届く「この人、無理……!」と心の声が届いたかのように、ポストに元彼から一通の手紙が届いていました。今の時代、電話やメールでことを済ませるのが普通ですよね? なのに、あえて、手書きでお手紙だったのです。それも、よりを戻したいという内容ではなく「体調は大丈夫?仕事は頑張ってる?」などの、私へ気遣いをする文章たち。胸の奥がキューンって苦しくなって、ごめんねと心の中でつぶやきました。よく恋愛は4年っていうけど、なんだか「愛情」みたいなものを初めて受け取った気がして……。彼の存在が、当たり前になっていたなと、反省しました。自分から一方的に振ったのもあり、この人を大切にしたい!と確信。恐る恐る電話をかけたら、彼は出てくれました(また、連絡しあうはずと思っていたと後から聞いたときは、本当に嬉しかった)。あんなに一緒にいたのが当たり前だったのに、電話をかけるだけで、本当にドキドキ……。お互いに何を話したら良いのかわからず、「げ、元気にしてた?」なんて他人行儀なスタートでしたが、お互いに思っていた気持ちは一緒。「やっぱり、好き♡」だったのです。初めての海外旅行で、家族の反対を機にプロポーズ気づけば、7年の交際が過ぎた頃。台湾に二泊三日で旅行に行く計画を立てました。母には彼について話していたのですが、ほかの家族にはまだ内緒。しかし、あまりに楽しみだった私は、ウキウキして「今度、台湾に行くんだ〜♪」とみんなの前で話してしまったのです。「誰とー?」と聞かれたので、つい彼氏と言ってしまった途端、部屋にいたおばあちゃんが激怒。「海外旅行に結婚もしていない男性と行くなんで、絶対にダメ!」と……。困った挙句に、彼に相談すると「俺は本気だよ」という意味も込めてプロポーズしてくれました。しかし、後から聞いてみると本当は翌年にプロポーズ予定だったとか。予定より早まったけれど、良いきっかけにもなったし、私も仕事がちょうど落ち着いていた時期だったのでタイミングが本当に大事だなと感じましたね。今は、子供も生まれ、旦那さんの海外赴任に伴って、家族みんなでアメリカに住んでいます。日本を離れる前は、環境もガラッと変わるし心配だらけでしたが、人付き合いが苦手で内向的な彼なので、私や子供といる時間が一番落ち着ける時間みたいです。そのおかげで、異国の土地でも不安なく、毎日穏やかに過ごすことができています。ドキドキや刺激も大切だけど、いつも私たちを優先的に考えてくれる彼には本当に感謝ですね。いつまでも、仲良くしようね! ありがとう。お話を聞いて……。ホッとできる陽だまりのような温かさを持つ男性と結婚したMさん。一方的に別れを告げたあとに経験した “ちょっとした火遊び” が功を奏して、彼の良さを再認識できましたよね。一度離れて再燃する恋もまた真実の恋です。いえ、他の男を知ることができたからこそ、よりいっそう燃え上がることができたのでしょう。手書きの手紙を送るなんて、とても素敵な男性です。アメリカの地でおふたり仲良く過ごされてくださいね!(C)Jacob Ammentorp Lund/Gettyimages(C)andrej_k/Gettyimages(C)hungryworks/Gettyimages(C)NikolaZivic/Gettyimages(C) Muenz/Gettyimages
2017年08月09日花火大会やお祭りなどで、浴衣を着たいけれども、自分で着付けができないと悩む方のために、都内で着付とヘアセットをしてくれるサロンを紹介します。速い!安い!崩れない!なら「歌舞伎町」で決定!キャバクラ嬢ご用達のセットサロンが多数存在する「歌舞伎町」は、激戦区のためヘアセットの腕も値段も、満足度の高い店ばかりが揃っています。お店で開催される「浴衣イベント」なるもので慣れているため、浴衣の着付けも速くて上手いのが特長です。地方での結婚式に参列する際のヘアセットにも、朝早くからオープンしているため便利です。「雨が降っても夜まで崩れない」、「約15分という脅威の速さでセットが終わる」、「1,500円~2,000円程度とセット料金が安い」と、欠点がひとつもないのもこの地域の魅力。雨の日の結婚式において、歌舞伎町でセットした人だけが2次会までずっとヘアスタイルがきれいにキープされていたとの逸話もあり!“歌舞伎町”と聞くと、治安があまり良くない・盛り盛りのヘアスタイルに仕上がりそう、などのイメージを持つかもしれませんが、日中はあまり街を歩いている人も多くはありませんし、ナチュラルなヘアセットも皆さん上手なので、安心してください。1.Hair make Cintia. 【ヘアメイク シンティア】浴衣着付+ヘアセット3,800円おおした ゆうこさん(@oothan0611_hairmakecintia)がシェアした投稿 – 2017 7月 14 8:44午後 PDT区役所通り沿いにある「シンティア」は、美容院ではなくヘアセットの専門サロン。アップヘアにするときの女の子のこだわりである“遅れ毛”にもこだわってくれるため、小顔に見せることが可能です。この時期はなんと着付けとヘアセットの両方をお願いしても3,800円という脅威の安さ!!花火大会が開催される日の予約はどんどん埋まってしまうのでお早めに。2.e:studio【イースタジオ】浴衣着付+ヘアセット4,700円ヘアメイクサロン イースタジオさん(@estudio_shinjuku)がシェアした投稿 – 2017 7月 20 12:19午前 PDT雑誌でも活躍をしている有名キャバクラ嬢たちご用達のセットサロン「イースタ」。メンズのヘアセットも得意のため、カップルで一緒に行くのもおすすめです。花火大会開催集中日程のみの、お得な割引なども行なっているので、キャンペーン情報をゲットして足を運んでみてください。その他の地域の美容院でも着付け&ヘアセットはできる!!新宿が遠い、歌舞伎町が苦手と言う方は、美容院でも着付けをしてくれるところがあるので探してみてはいかがでしょうか。都内主要地域の、可能なサロンをピックアップします。3.allys hair 青山浴衣着付+ヘアセット7,560円allyshairaoyamaさん(@allyshairaoyama)がシェアした投稿 – 2017 7月 19 6:43午前 PDT東京・青山の超人気ヘアサロン「allys hair」にも、着付けのメニューがあるってご存知でしたか?独自のヘアスタイリングの上手さやバリエーションに定評のあるこちらのサロンであれば、誰よりも可愛く浴衣を着こなせそうな予感がします。4.unsarto poi 池尻大橋【アンサルトポイ】浴衣着付+ヘアセット4,298円unsarto poiさん(@unsartopoi)がシェアした投稿 – 2015 7月 2 7:40午後 PDT三宿エリアに住む方におすすめなのが、池尻大橋にあるこちらの美容室です。着物の着付けもできるため、浴衣はお手の物。サロンメニュー自体と同じく、通いやすいプライス設定もうれしいですよね。5.prize 池袋【プライズ】 池袋西口店浴衣着付+ヘアセット8,640円prize池袋西口店 浴衣着付け/タトゥーカバーさん(@prize_ikebukuro)がシェアした投稿 – 2017 7月 31 2:57午前 PDT池袋駅西口から徒歩30秒という好立地。トレンドの外国人風カラーなで、抜け感のあるカラーリングを得意とするサロンです。プラス料金で、浴衣に合わせたメイクをしてもらうこともできます。”崩れない浴衣とヘアセット”でお祭り気分を満喫しよう!せっかく浴衣を着ても、着崩れてしまっているとちょっと残念。また、浴衣を着たときくらいはいつもと違うヘアセットで気持ちを盛り上げたいですよね。そんなときは、プロの手を借りることで、満足度の高い仕上がりが一日中持続します。大きな花火大会やお祭りの開催が重なる日は、予約が埋まりやすいので、前もって予約をし、当日を落ち着いた気持ちで迎えてくださいね。
2017年08月04日ライター&コラムニストharakoが、実際に20代・30代の既婚女性100人にインタビューをし、結婚までのプロセスや心境の変化をお伝えする連載です。第4回目は、長く付き合っていた彼と別れ、寂しさを紛らわすために遊び半分だった恋愛がいつしか本気の恋に、そして結婚へと辿り着いた、29歳Mさんのお話です。高校生から6年付き合った彼。【実録♡ 結婚プロセス100人インタビュー 】vol. 4私は、高校生から社会人の始めまで、ずっと付き合っていた彼がいました。気づけば、6年も一緒。倦怠期や大きなケンカもなく、ワガママな私をいつも受け止めてくれていた彼が大好きだっだし、もうこのまま結婚するのかなー?なんて、正直思っていましたね。私の将来の夢は昔から、ウエディングプランナー。ずっとその道に進みたいと志していたので、すんなり方向性が決まり、順調に仕事を取り組んでいました。彼は大学に入ってから、いろいろな人に影響を受けて、日替わりで夢が変わるようになっていきました。コロコロと意見が変わる彼を見ていると、なんだか大丈夫? なんて頼りなく思えてきてしまったのです……。彼より早く就職した私は、同級生にも関わらず、お姉さん気分。「こうしたほうがいいんじゃない?」とアドバイスをしても、長く付き合っているのが裏目にでて、彼は意見を聞いてくれないし、言いわけばかりが増えていきました。そんなモヤモヤが募っていたころ、職場の先輩にふと彼について相談をしたのです。先輩のひと言で、冷静になった私は…先輩から返って来た回答は、「Mさんさ、こんなに好きになれた人は、今の彼しかいないって思ってない? そして、自分のことをこんなに好きって思ってくれる人も、今の彼しかいないって思ってない?」という、ガツーン!と頭に響くようなセリフ。内心、思春期の一番楽しい時期にずっと一緒だった彼のことが大好きだった私は、“いなくならないで欲しい” と、いつの間にか執着に変わっていた自分の気持ちに気づいたのです。客観的な意見を聞いて冷静になった私は、思い切って6年交際した彼と別れることにしました。しかし、彼からは「いつか迎えに行くから」と後ろ髪引かれる言葉をいわれて。私も、“いつかまた戻るんだろうな” と思っていたのが、正直なところです。ちょうど別れる少し前から、友人の紹介で通っていた接骨院があったのですが、「彼と別れたんだよねー」と意識せずにポロッと話したことがきっかけで、担当の人と連絡を取るようになり、2人でご飯に行ったりする仲になりました。父の余命宣告で心の支えが欲しかった6年付き合った彼と別れたばかりで、寂しさを紛らわす程度に……なんて思っていた私は、正直、気軽に遊んでいただけのつもり。しかし、父がすい臓がんであることが発覚し、余命半年と宣告される事態に見舞われたのです。目の前が真っ暗になり、メンタルは崩れそう……。母とあまり仲が良くなかった私は、どうしたら良いのかわからず、その接骨院の彼に、父のことも相談しました。元彼に比べたら日は浅かったけれど、親身になって話を聞いてくれて支えてくれる姿にだんだん私も心を開いて気づけば交際スタート。相手の実家に連れて行ってくれた時期も早く、初期段階で結婚も意識するようになりましたね。しかし、彼はいつも仕事が優先で、電話をしてもろくに出ない。寂しがりやな私は「そろそろ結婚はしたいけど、この人で良いのかな。私のこと、ほったらかしだし…」とモヤモヤしてしまい、少し距離を置くことにしたのです。このことが、悪夢の始まりでした。父の死とストーカー男が重なる実は、常に男性がいないとダメなタイプの私は、接骨院の彼への気持ちがわからなくなり、違う男性に少しなびいてしまったのです。ですが、その男性は、私をかなり束縛して、LINE300件に着信100件、軽いDVや会わないと脅してくるようにもなりました。束縛は徐々にエスカレートし、次第に職場や家にまで来るように。そして、追い討ちをかけるように、父が亡くなったのです。人生でこんなに最悪な時期ってあるもの?と、自己嫌悪になり、めまいや吐き気が続き、さすがにおかしい!と病院に行くと「鬱」の診断がくだされました。(本当に、辛かった…)1通の誕生日メールが、私を救ったどん底だった私は、ストーカー男から来る連絡を全てブロックし、気持ちを落ち着かせようとしていました。そんなボロボロで迎えた私の誕生日。突然、接骨院の彼からメールが届きます。「距離ができて、いつもMがそばにいてくれるのが当たり前だと思っていた」と。その言葉を見たときに、大粒の涙が流れました。(心の中では、助けて…と叫んでいたのかも)そのメールをきっかけに、またやりとりが戻り、会うことになったのですが「え、顔色悪いけど、大丈夫?」と久しぶりに私を見た接骨院の彼は、明らかに3か月前と違う私の変化にすぐ気づいてくれました。そして、連絡もマメになり、仕事が忙しくても時間を作ってくれるようになったのです。あんなに距離があったのに、一気に心が急接近したのは、この時からでしょうか。今までにないくらい私を気遣ってくれる彼の姿を見て、「この人しかいない!」と結婚を確信し、ゴールイン。今でも心が不安定になることがたまにありますが、彼がいるから私は大丈夫!安心!と毎日幸せをかみしめています。あの時、メールをくれて本当にありがとう。あなたのおかげで、生きる希望がわきました。いつまでもそばにいてね!お話を聞いて……。「結婚願望は早い段階ではあったけど、誰とするの?」という疑問がずっとあったというMさん。今振り返ると、平凡な毎日だったら、未だに恋愛を繰り返しているかもしれない…と話していました。独身女性の悩むポイントでもある、結婚のタイミングですが、Mさんのように「この人しかいない」と思える事件があると、明確にパートナーの重要性を感じますよね。本当に困ったときに手を差し伸べてくれる男性を大切にしたいと改めて感じました!(C)beer5020/Gettyimages(C)pixelfit/Gettyimages(C)PeopleImages/Gettyimages(C) CribbVisuals/Gettyimages(C)DragonImages/Gettyimages
2017年07月27日ライター&コラムニストharakoが、実際に20代・30代の既婚女性100人にインタビューをし、結婚までのプロセスや心境の変化をお伝えする連載です。第3回目は、当たって砕けたはずの恋が実り、ゴールインまでたどり着いた女性Aさん29歳のお話です。片思いから思い切って告白したのに…。【実録♡ 結婚プロセス100人インタビュー】vol. 3あれは大学時代。私は同じ学科で部活も一緒の彼に片思いをしていました。正直、見た目がタイプだったこともあり、授業中ぼーっと眺めてはニマニマしたっけ。でも、なかなか2人で遊ぶまではいかず、友達同士で遊びにいく程度しかしたことがありませんでした。でも、この気持ちにウソはつきたくない!と「好きです!付き合ってください」と勢いで告白したのです。「ちょっと待って欲しい」と一週間後に返ってきた答えは「NO」。ドキドキしながら待ったのに…!と悲しさと恥ずかしさが交互に入り混じってモヤモヤしたことを今でも覚えています。簡単には諦められない、恋心…忘れようとしても、部活が同じだし、避けたくても絶対に顔を合わせてしまいます。気まずいけれど、なんとか普通に接しよう! としても、つい目で追ってしまったり、何かと接点を作って質問しに行ったりしてたかも……。悲しみにくれたまま、失恋モードをズルズルと数か月ほど引きずっていた私ですが、それでも彼への思いは諦めきれなかったのです。共通の友人たちにいつも相談していました。「やっぱり、まだ好きなんだけど、なんか良い方法ないかな?」。自分以外の知恵をなんとか求めてさまよっていたのです。友人が仕掛けた「2人きり作戦」で思わぬ展開にそんなある日、事態は起きました。学園祭で出し物をすることになり、その打ち合わせとして、当時、ひとり暮らしをしていた私の家は、学校外での集まる場所になっていました。ふと見てみると、複数の友人に混じって彼の姿も。「わっ…!なんでいるの!」と、どこかで意識しちゃう…と思っていたら、急に「買い出し行ってくるね〜」と私と彼を残して友人たちがいなくなってしまったのです。「え、2人きり…」。一気に恥ずかしくなった私は、季節は初夏というのに、変に冷たい汗がタラタラ。そして、私の気持ちを知ってか知らずか、彼がいきなり「やっぱりさ、俺と付き合って」と逆告白してきたのです。「えええ!?」と動揺しながらも、私は彼の気持ちを受け入れました。後から聞いた話だと、周りの友だちに片思いのことをずっと相談していたために、友人たちが「お前のこと、本気で好きみたいだよ!」と、彼にプッシュしてくれていたのだそう。彼も彼で、私が密かに送っていたラブビームに気づいていて、徐々に気持ちが傾いていったとのこと。そして告白のときは、1回断っているから、いまさらアタックしても受け入れてもらえないかも、と不安と期待が入り混じっていたとか。それを知ったときは、照れもありましたが、結果オーライかな!って開き直れましたね(笑)。友だちの優しさにウルっとしました……。交際7年を経て、結婚に付き合い始めこそ戸惑ったものの、交際がスタートしてからは、緊張から解放されてどんどん前向きに。卒業後に彼は公務員、私は事務職に就職し、5年、6年とお付き合いは続きました。ちょっぴりワガママな私を、なんでも「良いよ良いよ」と受け止めてくれて、とっても優しいんですよね。このころから、自然と結婚を意識するようになっていきました。彼の職業柄、寮に住んでいたため、すぐに同棲とはいかなかったものの、熟年夫婦みたいな空気があったかもしれません(笑)。そして、7年目の記念日にホテルでちょっぴり贅沢なディナーとともに指輪をもらいプロポーズを受けました。ドキドキして嬉しさいっぱい!というよりは、もうこの人と一緒になるんだな〜って安心感のほうが大きかったかもしれません。一緒に住んでからは、掃除も積極的に手伝ってくれたり、背の低い私が使いやすいように家具を移動してくれたり、とにかく協力的で助かっています。実は、自分の父親があまり好きではなかったので、彼の優しさに甘えています。彼は愛情表現が決して上手ではないけれど、その穏やかな人柄のおかげで、ゆったりと毎日が流れています。いつまでも仲良くしようね! いつも、ありがとう♡お話を聞いて……。筆者の友人であるAさん。引っ込み思案でシャイな印象が強いのに、まさか自分から告白していたなんて…!と意外なエピソードにびっくりした私です。逆告白して来た時はどう思った?と質問してみたところ、「思い続けたかいがあった!」と、乙女心全開のお答えをいただきました。そう、一度当たって砕けたとしても、メゲるのはまだはやい! 周りを味方にし、ドン引きされない程度にアピールし続けていれば叶う恋だってあるのです。追って追って追いかけて、今度は彼に追いかけてもらう、こんな愛され方もステキと思ったエピソードでした♡(C)linhkn/Gettyimages(C)ipopba/Gettyimages(C)Yagi-Studio/Gettyimages(C)Peopleimages/Gettyimages
2017年07月23日インプロセス(IN-PROCESS)の2017年春夏コレクションを紹介する。太古のモチーフやデザインをモダン化した今シーズン。メソポタミアやエジプトなどの古代文明のデザインを、ボタニカル柄や幾何学柄に変えて多用。それを素材やシルエットによって、フェミニンかつ洗練されたものへと変貌させた。また、今季は女性のライフスタイルに寄り添うようなワードローブを想定。例えば、オフィスで着られるような、コンサバティブなパンツやブラウス、あるいはリゾート感を出したリラクシングなパーカー、デートシーンに最適なコクーンシルエットのワンピースなど。洋服のひとつひとつに女性の日常にあるワンシーンが感じられる。首元にパールを添えたり、ヘムの一部分に精緻な花柄の刺繍を乗せたりと、エッジをプラスすることも忘れていない。インディゴ染のニットには、リボンを一緒に編み込んで、ツヤ感と愛らしさを加味している。異素材の切り替えからなるデザインも、上質なムードを作る要素。四角や三角を並べて古代的な柄をさりげなく表現したレースを、カットソーのバックに切り替えて配置。一方で、ハイウエストのパーカーの裾には、コットンシルクの素材をペプラムのようにあしらって、柔らかく揺れるように仕立てている。ジャカードは表裏を逆にすることで立体的な柄へ。気の利いた遊び心が加わることで、より洗練された女性像が明確になっていく。
2016年08月31日梅雨明け宣言もされ、いよいよ本格的な夏到来です。各地では夏祭りや花火大会などが催され、浴衣で街を歩く人の姿をよくみかけるようになりました。せっかくなら、わが子にも浴衣を着せて、夏のイベントを楽しみたい! そんなママのために、子どもの浴衣の着付け方法と、ワンステップ加えるだけでかわいさ&着崩れにくさがアップする、帯のかんたんアレンジをご紹介します。■子どもの浴衣の着せかた【基本編】市販の子どもの浴衣はひもが縫い付つけられているタイプが多いので、和服にあまりなじみのないママでも、かんたんに着せられるようになっています。まずは、基本の着付けかたをご紹介していきます。<用意するもの>・浴衣・へこ帯・タンクトップ・キャミソールなどの下着(必要に応じて)・下駄、バッグなどの小物1.浴衣をはおるまずはサイズをチェックします。丈は、くるぶしがみえるくらいがジャストサイズです。次に、うしろを向かせ、浴衣の背縫いが体の中心にくるようにします。2.つけひもを結ぶ正面を向かせ、子どもの右手側(親からみて左)が下にくるように体に沿わせ、つけひもを反対側の脇が開いている部分に通します。左側を重ね、両方のつけひもを背中で交差させてから前に回し、リボン結びをします。きつくしすぎず、子どもが楽に感じられる程度に締めてあげましょう。ポイント:大人の場合、首のうしろとえりの間に少し隙間をつくりますが、子どもは首まわりに隙間を開けず、きっちりめに着付けます。うしろのえりは首に沿わせるようにし、前側はのどのくぼみが隠れる程度に合わせます。3.へこ帯を結ぶへこ帯は縦半分に折り、折り目(輪になった部分)を下側にして使います。帯の中央を体の前にあてます。このとき、洗濯バサミなどで帯とつけひもを固定しておくと、帯をまいているうちに左右の長さが変わってしまうのを防げます。帯を体にまきつけながら、うしろで一度交差させてから前へもってきます。体の前で左右の帯をもう一度交差させ、うしろで結びます。帯の長さが足りないときは、うしろからまきはじめ前で交差させ、うしろで結ぶ方法でもOKです。ポイント:帯の結び目を縦にするイメージで、左右の帯を上下にきゅっとひっぱると、ほどけにくくなります。4.リボン結びをする下の帯を輪にしてリボンのはねをつくり、上の帯をかぶせてリボン結びをします。リボンのはねと正面の帯を広げて整え、完成です。男の子の場合も同様の手順です。最後のリボンは小ぶりに結び、あまった帯の一方を結び目の裏に上から下へ通し、結び目を隠すようにすると、男の子らしい仕上がりになります。■かわいくて着崩れしにくい! へこ帯で、ふわふわお花結び基本のリボン結びにほんのひと工夫でできる、帯のかんたんアレンジです。上記3)までと同じ工程で浴衣を着付け、へこ帯を結びます。4)で結ぶリボンを小さめにし、あまった帯でもうひとつリボンをつくります。結び目が隠れるように4つのリボンのはねを広げて、形を整えます。完成です。こちらの帯アレンジでは結び目をふたつつくるので、基本のリボン結びより着崩れしにくいメリットもあります。子どもの浴衣の着付けポイントは、・背縫いを体の中心に合わせる・えりまわりはぴったりめに合わせる・つけひも、帯を結ぶときは、きつく締めすぎないこの3つさえ押さえておけば、大丈夫です。この夏は親子そろって浴衣姿で、夏ならではのイベントをめいっぱい満喫してくださいね。
2016年08月02日●10億ユーロを投資し、次世代半導体プロセスの開発を本格化ベルギーの独立系半導体ナノエレクトロニクス研究機関であるimecは、同国ルーベン(Leuven)郊外の小さな町Heverleeにある同社本部キャンパス(図1)内にかねてより建設中であった新しい300mmクリーンルーム(床面積4000m2)を2016年3月に開所した(図2)。次々世代の半導体プロセスとなる7nm、そしてその後の5nmプロセスを用いたデバイスを開発するためには、デバイスそのものの構造や使用する材料が大きく変わってくるため、新たな半導体製造装置を一式揃えなければならず、それらの装置を設置する場所として新たなクリーンルームの建設が求められていた。こうした背景からimecでは、既設の450mm-ready 300mmクリーンルーム(天井の高さや床荷重を450mm装置対応に設計されたが、当面は300mmウェハ処理用に使われるクリーンルーム。図3、図4)の隣接地に対応クリーンルームを新たに建設した。imecの社長兼CEOであるVan den hove氏は、新クリーンルームの開所式で「imecは、1984年に設立以来、高い目標を掲げ、世界最大の独立系ナノエレクトロニクス研究センターに成長してきたが、この成功は、世界のトップクラスの半導体メーカーを含む幅広い国際的な協業ネットワーク、世界トップレベルの卓越した科学者・技術者集団、そしてimecの誇るインフラよるところが大きい。今回のクリーンルーム拡張により、研究パートナーは、最先端の施設や製造装置を使って、IoTや持続可能な将来に向けた革新的な研究を継続して行えるようになる。これにより、将来、地元およびグローバルなハイテク産業でimecの成功は確実なものになった」と述べた。○10億ユーロを投じて7~5nm試作環境を整備「今回のクリーンルームの建築費用と搬入される設備の総額は10億ユーロ(約1300億円)を超える」とVan den hove社長は話す。このうち、1億ユーロ(約130億円)をベルギー国フランダース地方政府が出資し、残りの9億ドル(約1200億円)余りを、imecと研究開発契約を結ぶ世界中の半導体および装置材料企業90社が負担するという。新クリーンルームの施工は、ドイツに本社を置く世界規模のハイテク・エンジニアリング企業、M+Wグループが担当した。同社はゼネコンの多い日本では余り知られていないが、台湾TSMCや米国ニューヨーク州のGLOBALFOUMNDRIES(GF)のファブ8や同州に本拠を置くGlobal 450mm Consortiumの450mmクリーンルームなど、(日本を除いた)世界中の最先端半導体ファブの多くを手掛けている。増設クリーンルームには、正式な開所前の2016年1月から半導体製造装置(多くは開発段階のアルファ機やベータ機)の搬入が始まっている(図5)。最初に搬入されたのは、日本のアドバンテスト製のEB直接描画装置(図5)で、その後、縦型炉(ASM International製)、 イオン注入装置、重ね合わせ精度測定装置など、最新の製造装置や検査・分析装置の搬送が続いている。●もともとは450mmウェハ対応を計画していた新クリーンルーム○もともとは450mmクリーンルームとして計画imecは、もともと2016年から450mmウェハを用いた7nmプロセス開発のための研究開発パイロットラインを稼働させる計画を2012年に立てていた(図7)。この計画における第1期計画(2013~2016年)では、既存の300mmクリーンルームで450mmプロセスモジュールをいくつか選択して評価を行い、第2期計画(2017~2020年)で、新たに建設される450mmクリーンルームでフルフロープロセスおよびデバイスを開発することになっていた。ベルギーのフランダース地方政府は、この計画を支援するためimecの450mmクリーンルーム建設に対して1億ユーロを資金援助することを2012年7月に発表しており、この補助金を呼び水にして、広く世界中の産業界から10憶ドル規模の出資を募ることを計画していた。しかし、その後、業界の先頭に立って450mm化を推進してきた米Intelが、PC需要低迷やスマートフォン向けビジネスへの参入苦戦で業績が低迷し、300mmラインが埋まらず、450mm化を「期間の定めなく延期」(Intel関係者の話)してしまった。これに他の先端半導体メーカ―も右に倣えしたため、imecのクリーンルーム建設計画も宙に浮いていた。その後、300mmウェハを用いて7~5nmプロセス開発やデバイス試作を行うために、新たに多数の装置を導入しなければならなくなり、今回、450mmではなく300mmクリーンルームを増築することになった。この件に関して、imecの広報担当オフィサーであるHanne Degans博士は筆者の取材に対し、「現在、半導体産業界で最先端は未だに300mmであり、増築したクリーンルームには300mm装置を導入するので、300mmクリーンルームと呼ぶことにした。将来、450mmに対する要請が産業界からでてきたら、450mmへ移行することは可能であるが、今はその時ではない」との説明を行っている。imecには新設分を含めて総床面積12000m2の200mm/300mmクリーンルーム群があり、最先端の半導体プロセス開発/デバイス試作のほか、ナノバイオ研究、ニューロエレクトロニクス研究、シリコンフォトニクス研究、イメージセンサやワイヤレスチップ開発MEMS試作、太陽電池試作、Si基板上のGaNデバイス試作、などさまざまな目的のために使用されている。○日本がずっこけている間にも世界は爆進するちなみに昨秋、imecを訪問した「世界で勝負する仕事術」や「10年後,生き残る理系の条件」などの著者で知られる竹内健中央大学教授がTwitterに「imecでは、世界の多くの企業からお金を集めて巨大な半導体クリーンルーム(試作工場)を建設中。半導体産業は成長しているのに、日本だけずっこけている現実を改めて実感した」と記していた。日本国内だけに目を向けていては時代遅れになってしまうと言うことだ。日本では、SIRIJ, STARC, EIDECなど最後まで残っていた半導体研究機関や国家プロジェクトが日本の半導体産業の復権に貢献することなく今年度末にことごとく消え去る中、半導体大国ではないベルギーの半導体研究機関は世界中から注目を浴びて、日本の企業とも広範に協業してますます発展していくのはなぜか。日本勢は反省とともに戦略を練り直す必要がありそうだ。
2016年03月30日ベルギーの独立系先端半導体研究機関imecは、日本をはじめ海外の企業とも積極的に協業して、7nmおよびそれ以降のプロセス開発のための次世代リソグラフィ(多重露光液浸ArF露光およびEUV露光)の実用化を急いでいる。○Novaと協業して進める4重露光液浸ArF露光のCD計測液浸ArFリソグラフィ(波長193nm)を用いた7nm技術ノードのデバイス製作に向けてimecと米国計測器メーカーのNova Measurement Instrumentsは、自己整合4重露光(Self-Alligned Quadruple Patterning:SAQP)のプロセスを精密に制御するための光波散乱計測(scatterometry)手法を開発し, 2月末に米国カリフォルニア州サンノゼで開催された「SPIE advanced Lithography Conference 2016」で発表した。従来の測長SEMでは計測できなかったライン&スペースの周期構造の断面を非破壊で計測できるため、超微細構造のCD(critical dimension)計測に威力を発揮する。imecのプロセス開発担当SVPのAn Steegen氏は「Novaとの協業により、最先端の7nmデバイス製作向けのプロセスの精密な制御が可能になり、生産開始までの時間を短縮できるだろう」と述べている。○TELとInpria - 金属酸化物レジストでEUV露光imecは同じSPIEにて、東京エレクトロン(TEL)および米Inpriaと共同で、EUVリソグラフィに従来のポジ型化学増幅型有機フォトレジストのかわりにネガ型金属酸化物フォトレジストを業界で初めて適用した微細加工プロセスを発表した。リソグラフィプロセスが従来より簡素化され、製造コストも低減できると言う。オランダASML製のEUV「NXE3300フルフィールド・スキャナ」、TEL製のドライエッチング装置、Inpria製のネガ型金属酸化物レジストを用いて、imecのクリーンルームでプロセス開発を行った。なお、Inperiaは米国オレゴン州立大学化学科からスピンオフしたEUVリソグラフィ用レジスト研究開発ベンチャーである。具体的には、Inpriaが新たに開発したネガ型金属酸化物フォトレジストをimecの7nm BEOL(多層配線工程)モジュールに適用した結果が発表された。金属酸化物レジストは、エッチング耐性が有機レジストよりもはるかに高いため、ドライエッチングの際に薄膜スピンオン・ハードマスクの代わりにも使えるので、微細加工プロセスステップを簡略化できると言う。○JSRと共同でベルギーにEUVレジスト製造会社を設立またimecはJSRとEUVリソグラフィ用フォトレジスト製造合弁会社「EUV Resist Manufacturing&QualificationCenter(EUV RMQC)」をベルギー国内に設立した。EUV RMQCは、2015年5月に両社が調印した基本合意書に基づき、半導体リソグラフィ用材料やパッケージング用材料を現地製造しているJSR100%出資の子会社「JSR Micro」が過半を出資し、ベルギー・ルーベン市の同社敷地内に設立された(図1)。EUVフォトレジストは、JSR Micro敷地内に新設される専用製造ラインで製造され、imecが所有する最先端EUV露光装置(図2)やその他のプロセス装置、imecの所有するノウハウを活用して品質保証が行われる。EUV RMQCの社長兼JSR Micro取締役工場長であるBart Denturck氏は、「EUVリソグラフィは半導体製造技術が今後も発展していく上で必須の技術である。今後の業界ニーズに応えるべく、グローバルリーダーとして半導体技術の発展を支えてきた2社が互いの技術を持ち寄り従来の発想を超えて取り組む。EUV RMQCは、高品質材料の製造技術と最先端の装置を用いた品質管理に関する専門性と技術サービスの提供を担う。私たちは、今回の提携を通じて、EUVリソグラフィ技術開発の促進に貢献することができると確信している。」と語っている。またimec SVPのAn Steegen氏は、「imecはEUVによる量産化技術確立のためにサプライチェーンをサポートすることに注力していく。JSRとの合弁会社の設立により、材料メーカーに最先端の装置やプロセスを提供することになり、imecが以前から行っていた材料メーカーへのサポートをより一段進める事になる。これは、両社が持つ強みを合わせることによって半導体業界全体の目標達成に貢献できる良い例になるだろう」と述べている。○7nm以降の実現に向け、プロセス開発を加速なおimecは、ASMLとの1988年以来のリソグラフィ研究開発連携を強化しており、2013年秋にはimec内にAdvanced Patterning Centerを共同で設立し、ASML本社からEUVリソグラフィプロセス開発部隊を全員移動させている。そのため、現在、imecとASMLはいわば一心同体の関係にあり、さらに世界中の多数の企業、研究機関、大学とも協業することで、EUVリソグラフィの実用化に向けた中心地となっている。同時にimecは、オープンイノベーションを社是として、世界中の多数の半導体企業、製造装置・材料ベンダと協業して7nmプロセスの実用化、5nmおよびそれ以降のプロセス開発、さらには微細化に依存しない新規デバイス用プロセスなどの開発にも取り組んでおり、今後も半導体技術開発の中心地としての存在感を増していくことになりそうだ。
2016年02月26日●各ファウンダリのプロセス技術をまとめる2015年11月に米サンタクララで開催されたARM TechCon 2015のレポートは既に3本ほどお届けした(その1、その2、その3)が、このレポートはちょっと毛色を変えて、そこで紹介された各ファウンダリのプロセス技術をまとめてみたいと思う。12月に開催されたARM Tech Symposiaの場合、日本国内の半導体メーカーの減少に加え、新規にARMのIPを使って自社専用のASICを起こそうという顧客も少なくなったので、ARMの最新技術と、パートナー企業によるARMのIPを使って製造されたSoC(アプリケーションプロセッサとMCU)の製品紹介が主になる。しかし、ARM TechConの場合、実際に自社でASICあるいはASSPを作ろうと計画しているメーカーのエンジニアがやってくる。これもあってか、Technical Sessionの中には少なからずプロセス絡みの話が入っている。特に先端プロセスに関しては、ファウンダリによるものに加えて、EDAツールベンダーとメーカーが共同で発表を行うことも珍しくない。例えば今回でいうと、TSMCの10nm FinFETプロセスに対するCPUコアのImprementationは、ARMとCadenceの共同セッションであった。ただ、ここでは普段の記事などで出てくる「xxプロセスなので消費電力が減るor動作周波数があがる」的な話はあまりない。何故ならその話をするには、あまりに多くのパラメータが絡んでくるからである。とはいえ、ファウンダリ3社(TSMC、Samsung、GLOBALFOUNDRIES)の先端プロセスの話を聞ける有意義な機会であることに間違いなく、実際Intel以外の製品はこれら3社のどれかのプロセスで製造されているから、ここで出てきた話は自ずと未来のPC向け製品の話に繋がってくる。ということで、いくつか目に付いたトピックを紹介したい。○TSMC 10FF - メリットは大きいが設計がより困難に「メモリ以外の」生産能力という意味では言うまでも無く世界最大のファウンダリとなっているのがTSMC(Photo01)。TSMC以外のデータは? ということではやや古いがこちらを参照してほしい。リンク先のFigure 2を見ると、300mmウエハのトップ4はどれもメモリベンダーで、ここからメモリを抜くと間違いなくTSMCがトップである。またメモリにはもう使われない200mmウエハでもTSMCがトップになっている。同社はGIGAFAB 12/14に加えて15も台湾に保有しており、今度は中国に16nmプロセスのFabを作るとか作らないとかいう話になっている(Photo02,03)。ここから考えると、今後も間違いなくトップリーダーの立場を維持していくだろう。さてTSMCのプロセスについて紹介すると、すでに16FFと16FF+は量産を開始している。これを利用した最終製品としてAppleのA9が広く出荷されているのはご存知の通りだ。16FFと16FF+は順調ということもあり、いまの関心は10nmに移りつつある。TSMCによると、10FFでは従来とおなじ動作周波数なら70%のリーク削減、同じリークならば動作周波数30%アップ(Photo04)としている。16FF+の場合、動作周波数を基準の1.4倍あたりまで引き上げたあたりでリークが急増、1.8倍あたりで垂直とは言わないまでも猛烈な跳ね上がり方をしているのが、10FFでは大分なだらかになっているのが分かる。一方エリアサイズ(Photo05)も、大幅に削減可能だ。もともとTSMCの16FF/16FF+もSamsung/GLOBALFOUNDRIESの14LPE/14LPPも、トランジスタは16/14nmだが配線層は20nm相当なのに対し、10FFでは10nm相当のものになるから、理屈で言えば密度は4倍になる計算だ。もっとも実際にはそこまで高密度化できない(特に配線層の制約が大きい)のだが、それでも大雑把に言って密度を倍にできるとしている。また先にLeakage、つまりStatic Powerの削減が成し遂げられるという話はあったが、Dynamic Powerもおおむね37%削減できるとしている(Photo06)。とまぁここまでは良い事尽くめの話なのだが、ここから先は面倒な話が出てくる。10nmの設計は基本的にはevolution、つまり16nmの延長線上にある(Photo07)というのだが、IR drop(配線抵抗と電流の積、つまり消費電流)が非常にクリティカルになるという。またDRC(Design Rule Check)のルール数も着実に積みあがっており、設計が壮絶に難しくなることが示されている。この設計のための指針がこちら(Photo08)なのだが、さらっととんでもないことが書いてある。これについては後で別の例が出てくるのでその折に触れるが、とりあえず設計はできても、そこから最適化に果てしない時間が掛かる(か、猛烈なコストが掛かる)という話である。そのDesign Considerationはいくつか挙げられているのだが、先のPower Gridまわりで言えばPhoto09のような話がある。これは最適化しないPower Gridと最適化したものでは、同じ動作周波数でもエリアサイズが変わるというもので、Cortex-A9の場合に何も考えずに設計するとエリアサイズが1割ほど大きくなるという結果が出ている。もっと複雑な最近の回路では、もう少し差が大きくなるかもしれない。配線層だが、10FFではPhoto10のような形になるそうである。最終的に配線層がどの程度になるかは設計次第だが、さらに層数が増える事は間違いない。余談ながら、10FFの場合M1~M3の構築にはDouble Patterningが必須となるそうだ。○10nm世代で必要とされる"Coloring"さて、10FFでもう一つ、今度はARMとCadenceによるスライドを簡単に紹介する。P&R(Place and Routing)に関して、28nm世代からDouble Patterningが必須になったが、10nm世代ではもうDouble Patterningでは限界ということで、Triple Patterningが必須になった。この結果として、新たにColoringと呼ばれる概念が導入されることになった(Photo11)。Coloringとは? という話は後述するとして、Photo12はDRCの数字だが、先のPhoto07と比べると20nm以降で急に増えているのがわかる。このあたりはEDAツールによっても変わるのだろうが、設計の際の制約条件がどんどん増え、最適解を見つけるまでの時間がより掛かる傾向にあるのは間違いない。続いてColoringとは何か? の話。Multi-Patterningの場合、隣接する配線は別々のPatternにしないといけない(でないとパターンがくっついたり変形したりしてしまう)という問題がある。これを避けるために、配線というかパターンに仮想的な「色」をつけて区別するのがColoringという技法である。これを判りやすく示したのがPhoto13である。右上が悪い例で、このままだと緑のパターン同士が隣接しているので、このままリソグラフィを掛けると2つのパターンが分離不十分になる危険性がある。これを避けるためには、Color Orderを変えるとか、Cellの構造を反転させる、あるいは間を余分に空けるなどの配慮が必要である。Photo13のような構図だけだと簡単と思われるかもしれないが、実際にはウン十億のトランジスタを集積した、ウン億近いゲートが集積される回路でこれを満たすのは(しばしば長い配線などもあることを考えると)非常に難しい。この隣接した同じ色同士の配線の接触防止をOdd cycle prevent(奇閉路防止)と呼ぶが、これも設計時には配慮する必要がある(Photo14)。ちなみにここに出てくるGigaPlaceとかNanoRouteは、Cadenceの提供するEDAツールの名前だが、10nm世代ではこういうツールを使って配置配線を行わないとうまく行かない、という域に達している事を物語っている。先のPhoto12にも出てきたが、40nm世代あたりまでは手配線部分を残して最適化という話は良く聞いたし、28nm世代でも一部のメーカーは手配線で最適化といった話をしていた。ところが16nmとか10nm世代では、Photo12のグラフにも示すようにDRCの数が飛躍的に増えており、そろそろ人間の手作業で最適化とかがかなり難しい状況になりつつあることを示しているともいえる。●Broadcom/TSMC 16FF○Broadcom/TSMC 16FFBroadcomはVulcanというARMv8-Aベースのコアを開発するという話は2013年に公表されており、2014年には内部構造の概略なども明らかにされた(Photo15)のだが、今回同社は"Optimized Implementation of 3GHz+ ARM CPU Cores in 16nm FinFET Technologies"というタイトルで、このコアの物理実装の話を紹介した(Photo16)。さてそのVulcanの設計目標だが4Pで1.2W~8P 12Wまで。コアあたりにすると0.3W~1.5Wというかなり低い数値となる(Photo17)。BroadcomはARMv8-Aのアーキテクチャライセンスを受けて独自にインプリメントを行っているので、性能/消費電力のカーブは既存のCortex-A57/72などとは異なったものになるが、性能レンジはそのCortex-A72などを上回るところに持ってゆきたいという話となっている(Photo18)。さて、ここからはインプリメント側の話をいくつか。Photo19はIR Dropを最適化する技法を比較したもので、なるべく精度を高めたほうがIR Dropの無駄なマージンを減らせるという話である。ただ、当然これには時間がかかってしまう。このIR Dropの設計サイクルをまとめたのがこちら(Photo20)。要するにもうひたすら配置配線以下の作業を繰り返すことで少しづつ最適化を図ってゆこう、という話である。この技法をいきなりVulcanにやるのもアレだと思ったのだろうか、同社はVulcanの物理設計に先立ち、Cortex-A15やCortex-A72を使っての実装のテストを行っていた。Photo21は28nmプロセスを使い、12 TrackのCell LibraryでCortex-A15を実装した結果だが、普通にやると1.5GHzあたりが限界で、その先は消費電力が急増して使い物にならないと判断された。ただしそこからClock Treeに繋がるFlipFlopの構造変更(Photo22)やClock Treeそのもののやり直し(Photo23)、L2やRegister Fileなどの手配線による最適化(Photo24)、配置の見直し(Photo25)などを行った事で、エリアサイズを縮小しつつFmaxを向上させることが出来たとする(Photo26)。この知見を元に、Cortex-A72を16FF+で設計したところ、当初の2.5GHz駆動から3GHzまで動作周波数があがり、エリアサイズを7%縮小し、消費電力も0.34W削減できた(Photo27)というのがBroadcomの発表の〆である。つまり16FF+を使っても、3GHzまでの動作周波数を実現するのは可能であるが、ただしそのためには物理設計の最適化に半年掛かる、という事も明らかになった形だ。大雑把に配置配線→最適化の一巡に2カ月掛かるという話で、これを長いと思うか短いと思うかは難しいところだ。●Samsung 14LPP○Samsung 14LPPTSMCに対抗するのはSamsung/GLOBALFOUNDRIESの14LPEと14LPPである。こちらはTSMCよりも若干立ち上がりが遅れつつも、第1世代である14LPEはやはりAppleのA9に採用されており、間もなくこれを改良した14LPPの量産が始まると思われる。すでに複数のAMD製品が14LPP向けにTapeoutしたことがアナウンスされており、これは次世代CPUコア「Zen」と、場合によってはGPU製品も含まれている可能性がある。ちなみにこの製品がGLOBALFOUNDRIESだけでなくSamsungで生産される可能性があるといったニュースが昨今出ているが、そもそも両社は同一のプロセスを提供しているから、これは不思議でもなんでもない。さて今回GLOBALFOUNDRIESは14LPE/14LPPに関するセッションは一切行っておらず、その代わりにSamsungが何回か14LPPに関するセッションを行ったので、この内容をご紹介したい。以前の記事でも触れたように、14LPPは14LPEの高性能版という扱いであったが、どう高性能化したか? というのがこちら(Photo28)だ。要するにFinの高さを引き上げる事で、より駆動電流を増やした形だ。このあたりは、Intelの22nm世代ではHigh-Speed Logic向けとSoC向けでFinの高さだけでなく幅も変えたことと対照的だ。ただ14nm世代ではそもそもFinが薄くなりがちで、高さを引き上げるのはそれなりに難しい筈だが、今回は流石にそのあたりの話はなかった。いずれこのあたりは学会あたりで何かしら発表があるかもしれない。さてその14LPPを利用してSoCを製造するための最適化技法(DTCO)のスライドを何枚か紹介する。何度か言われてきたことだが、FinFETの世代ではゲートの幅などを微調整するといった技法は使えないため、基本的にはFinを何本構築するかという形による性能調整しかできない。そのため性能値は離散的な振る舞いになる(Photo29)。問題は14LPE→14LPPでは、そのまま高さが増える形になるので、例えば14LPEだったら3Finが必要だったところが14LPPでは2Finで済むといった事も起こりえる。なので設計をやり直すのであれば、よりエリアサイズが縮小できる場合もある。またSRAMに関しては、これもFinFETの寸法をいじれない関係で、それほど構成が取れず、性能に関するパラメータが限られるという制約があるが(Photo31)、これに関しても14LPE→14LPPで安定性を増す方向に特性が変わるとしている(Photo32)。さてここからはその14LPPのもう少し詳細だが、14LPEでは9TrackでCPP(Contacted Poly Pitch)は78nmのみが提供されたのに対し、14LPPでは10.5TrackのCell Libraryが提供され、またCPPには78nm以外に84nmも提供されるようになった(Photo33)。Samsungはそれぞれを次のように分類している(Photo34)。9T/78CPP:High-density9T/84CPP:High-Performance10.5T/84CPP:Ultra High-Performanceただ、一般的に言えばスマートフォンなどのSoC向け:High-densityGPUなどの高密度/高性能向け:High-PerformanceCPUなどの高性能向け:Ultra High-Performanceといった使い分けになるかと思われる。ちなみに78CPPと84CPPでは、必ずしも78CPPが小さくなるとは限らないという説明がこちら(Photo35,36)。そのため、実際には78CPPと84CPPのエリアサイズの差は、もう少し小さくなる可能性がある。最後に、これはSamsungではなくSynopsysの講演であるが、16コアのCortex-A53を集約したNetwork向けのSoCを構築した場合のトライアルの結果が簡単に触れられていた。曰く、9Track Libraryを使ってのQuad Core Cortex-A53のCPU Clusterのインプリメントはおよそ4週間で可能だったとの事(Photo37)。これを9Trackのままと10.5Trackに移植して最適化を行った結果がこちら(Photo38)。10.5Trackだと電圧を変えずに4%ほどの性能改善が可能になったが、エリアサイズでは1割ほど増えているという試算になっている。○GLOBALFOUNDRIES 22FDX2015年7月、GLOBALFOUNDRIESは22nm FD-SOIを提供することを発表したが、今回はこの22FDXに関する詳細のセッションがあった。まずFD-SOIの位置付けがこちら(Photo39)。2015年7月の説明でも14nm FinFETを補完する位置付けとあったが、実際に22FDxは28SLPと同等のコストで、14LPPよりやや遅い程度の性能を提供できるとする。その22FDXであるが、ベースとなるライブラリはinvecasが提供する8 TrackのCell Libraryで、Power ManagementやCacheなどに関してはGLOBALFOUNDRIESからライブラリやマクロが提供される形だ。今回の発表はこれを利用して、Quad CoreのCortex-A17を実装したという話である(Photo41)。Floor Planは28SLPのケースと余り変わらない(Photo42)そうである。さて、問題のBody Bias。Lower LayerにBody Bias用の電源層を1層追加し、ここから各トランジスタにBias電圧を供給する形となる(Photo43)。で、本来のトランジスタの駆動電圧よりもずっと電圧が高い関係で、このBody Bias用のネットワークの間隔は広めに取らないとまずいとの話であった(Photo44)。では実際にBody Biasを使うと特性がどうなるか? というのがPhoto45である。FBB(順方向ボディバイアス)を使うと、スイッチング速度が上がるので動作周波数を引き上げ可能で、逆にRBB(逆方向ボディバイアス)を掛けるとリークが減るので省電力に向いている。具体的には、同じ消費電力なら30%性能アップ、同じ動作周波数なら45%の消費電力ダウンが期待できる(Photo46)ということで、さらに配線も22nm相当にシュリンクされるから、エリアサイズも削減できる。実際FD-SOIウェハのコストアップ、あるいはBody Biasを実装するためのコストアップは、このエリアサイズ削減で相殺できるというのが同社の主張である。もっともこれに関しては、現時点では少なくともDesign Inしたというアナウンスは皆無である。一応Cadenceはこの22FDXに対応したEDAのToolchainを提供するとしており、設計フローはBulk CMOSとほぼ同等とGLOBALFOUNDRIESは説明してはいるが、それをそのまま字義通り受け止めるユーザーは居ない、ということだろうか。ということで、ARM TechConに絡む形で最近の先端プロセスの動向をご紹介した。これが実際の製品にどう反映されてゆくか、という話は(恒例の)新年特集でも触れる予定だ。
2015年12月28日ベルギーの半導体・ナノテクノロジー研究機関imecと米国の半導体プロセス開発ツール・サプライヤ「Coventor」は12月7日(米国時間)、半導体デバイスの国際会議「International Electron Device Meeting(IEDM)」の開催に合わせて、両社の共同開発チームが、7nmロジック集積回路だけではなく、3次元NAND型フラッシュメモリ、STT-MRAM(スピン注入磁化反転:spin transfer torqueの原理を用いてデータ書き換えを行う磁気抵抗変化型ランダム・アクセス・メモリ)など、すべての7nmデバイス開発に、Coventerの半導体プロセスモデリング・プラットフォーム「SEMulator3D」を利用し、開発期間の短縮ならびに試作コスト削減を目指した取り組みを進めると発表した。SEMulator3Dは、フォトマスク作製用の回路パターン・レイアウト図面とプロセス記述表から、自動的に3次元のデバイス構造図を出力する一連のプロセス・モデリング・ソフトウェアで構成されたプロセスモデリング・プラットフォームである(図1)。imecの次世代プロセス技術開発担当シニアVPのAn Steegen氏は「SEMularoe3Dの力を借りて、7nmの半導体集積化とプロセスの問題を解決することが可能となった。今回の協業により、半導体メーカーが新たなプロセスを導入する際のリスクを軽減し、歩留まり向上や試作コストを削減することに貢献するだろう」と述べている。imecがベルギー・フランダース地方政府の資金で、同キャンパス内に建設中の450mmクリーンルーム(関連記事参照)が2016年2月に竣工と同時に(450mmウェハ使用はまだ時期尚早なため)7~5nmデバイス用300mmプロセス装置を搬入し、各種7nmデバイスの試作と、すでにテープアウト(設計完了)している5nmテストチップの試作を行う。それまでは、SEMulator3Dを用いてコンピュータ上で仮想的な試作を行うようだ。実際に多数の300mmウェハを用いてプロセスの最適条件を見出す手間が省けるので、開発期間短縮がはかれ、開発コストを削減でき、より早く成果を出せると言う。○imecがIEDMで記録的な23件の論文を発表imecは、今週、米国の首都Washington D.C.で開催中のIDEM(米国電気電子学会IEEE主催)で、5nmおよびそれ以降のデバイスの基礎となる新プロセス・デバイス技術に関して記録的な23件の論文を発表した。この中には以下の3件のような画期的な発表が含まれている。n型シリコン基板上に1.5Ωcm2という低コンタクト抵抗を実現。Pの活性化を増進するダイナミック表面アニール(DSA:dynamic Surface Annel)、Geの事前非晶質化、Tiシリサイド化などの新手法導入により可能になったという。Pド―プ・シリケートガラス(PSG)の拡張ドーピングを用いてNMOSシリコンバルクFinFETのアクセス抵抗低減を実現。これにより、Fin構造のサイドウォールへのドーピングをダメージなしで均一に行えるようになった。接合の無いhigh-k/metal gate(HKMG)オールアラウンド・InGaAsナノワイヤFETを300mmシリコンウェハ上で実現した。ナノワイヤをゲート電極で完全に取り囲んだGate-All-Around(GAA)構造を採用するとゲート電界による電流制御性が改善し、高ON/OFF比のFETが実現できるため、次世代トランジスタ構造として期待が高まっている。imecのLuv Van den hove社長は、「IEDMで23件もの論文発表が行えることは、imecが先端半導体研究開発で世界をリードしている証であり、誇りに思う。23件のうち、10件はシリコンCMOS技術を7nm以降まで延長させる正統的な技術とは異なるアプローチの新たな取り組みである。私たちはシリコンCMOSを超えた(beyond CMOS)技術開発にも取り組んでおり、スピントロニクスや2次元機能性ナノ材料にもすでに手掛けている」と述べている。
2015年12月11日クニエとソフトウェア・エー・ジーは12月1日、国内でプロセスパフォーマンスモニタリングサービスを開始することを発表した。プロセスパフォーマンスモニタリングサービスでは、はソフトウェア・エー・ジーのプロセスパフォーマンスマネージャーをベースに、クニエのビジネスプロセスエキスパートが、顧客の業務プロセスパフォーマンスを測定し、定期的に分析レポートを提供する。具体的には、ソースシステムの調査・分析、プロセスパフォーマンス指標の定義、分析レポート、ダッシュボードの定義、ReadytousePPMシステムの設定、プロセスパフォーマンスデータの抽出、プロセスサイクルタイム等指標の計画値、目標値の設定と異常値の検出、月次分析プロセスレポート、ベンチマークデータの提供、定期的な報告と改善点の提言などを、分析レポートとして提供する。同サービスは、月額課金で提供される予定。
2015年12月02日●いかにインテリジェントを持たせ高効率に半導体を生産するのか?次世代半導体生産向け製造装置および製造プロセスの自動制御(Advoaced Equipment Control/Advanced Process Control)に関する国際シンポジウム「AEC/APC Symposium Asia 2015」が、「インダストリー4.0時代の境界なき交流の場」というテ―マの下、開催された(図1)。主催は、半導体製造のノウハウをサイエンスに高めてScientific Manufacturing(科学的製造)をめざす半導体製造国際シンポジウム(ISSM)実行組織体で、AEC/APCシンポジウムは、ISSMの姉妹会議の位置付けとなっている。後援は、電子情報技術協会(JEITA), 日本半導体装置協会(SEAJ)、国際半導体装置材料協会(SEMI)など。科学的な半導体製造で歩留まりや生産性を向上させるための核となるAEC/APCに関して、海外からの参加者も交えて活発な議論が行われた。○先取りしてIndustrie 4.0を実現する半導体工場AEC/APCというのは、半導体工場内の製造装置に温度、圧力、ガス流量、薬液組成、プラズマ密度、印加電圧・周波数、などさまざまな装置/プロセス・パラメータである多変量を計測する多種類のセンサを取り付けて、そこからの大量のデータ(いわゆるビッグデータ)を収集・解析し、フィードフォワード、フィードバックを駆使して装置やプロセスの変動による製品のバラつきを制御する手法である。先端半導体工場で、プロセスや装置の安定化をはかって製品歩留まりを向上させ、品質や生産性を高め、トータル・コストの低減をはかるための自動化システムと言えよう。これは、現在、世界中の産業界で注目されているIndustrial IoT(IIoT)やIndustrie 4.0の発想そのものであり、半導体工場では、他産業に先駆けてそれを具現化してきている。○日本では5回目の開催だが米国での開催数は27AEC/APC シンポジウムは、半導体メーカーと装置、材料、ソフトウェア、センサ、計測・分析機器メーカーが一堂に会し、プロセスや装置の自動診断や最適化を通して、インテリジェントで高効率な生産システムの構築を議論する場として、会議名称は若干異なるものの、毎年、世界3カ所(欧州・米国・アジア)で開催されている。アジアでは、2003年以来、台湾で毎年開催されている。日本では、2007年に熊本で初めて開催されたのを機に、隔年で開催されており、今回は日本で5回目の開催となる。米国では、1989年に「Sematech AEC/APC Conference」の名称で第1回が開催されて以来、毎年開催されており、今年は去る10月にテキサス州の州都オ―スチンで第27回目のAPC Conferenceが開催された。欧州では来年4月に「第16回 European Advanced Process Control & Manufacturing Conference (APC/M)」がドイツで開催される。かつて日本が勘と経験と度胸(?)でDRAMの大量生産を行っていた頃から、米国ではAPCが議論され、「製造を科学する(manufactuirng science)」ことにより、ついに米国半導体産業が復権を果たすこととなった。この点で、米国はAPC分野で先行しており、欧米はITの活用でも一日の長がある。○仮想計測や異常検出分類を中心に議論今回は、口述発表13件、ポスター発表8件の合計21件の発表がおこなわれた。国別では、日本14件、米国3件、韓国2件、ドイツ1件、マレーシア1件。組織別では、熊本大学3件、東芝、ルネサス、Infineonグループ(ドイツ/マレーシア)、Samsungグループ(韓国/日本)、 Rudolph Technologies(米国)各2件、その他の企業・大学各1件。今回も半導体製造装置/プロセスパラメータ情報をモニターし、その情報を活用することで、実際に計測・検査を行わずに半導体ウェハの加工特性を予測する手法である「仮想計測(VM:Virtual Metrology)」や、それぞれの半導体製造装置からのさまざまな出力をモニターし、何らかの異常を検出した場合、その結果を統計的に処理することにより異常の種類を分類する手法である「装置異常検出分類(FDC:Fault Detection and Classification)」を活用したAPCを関する発表が相次いだ。ソニーセミコンダクターは、「さまざまなデ―タを活用したVM-APCによるMOSFETしきい値電圧の予測と安定化」と題する発表を行った(図2)。また筑波大学からは「VMとFDCに関する機械学習とデータマイニングの応用」と題する発表が行われ、Student paper Award(学生論文賞)を受賞した。さらにパナソニック・デバイスエンジニアリングからは、指数加重移動平均(Exponentially Weighted Moving Average:EWMA)を使った新しいFDC手法の銅めっき装置への適用例が報告されたほか、三重富士通セミコンダクターは「高密度プラズマCVDのエッチングレートのチャンバーごとのばらつきをなくす手法」を発表した。そして東芝からは、「NAND型フラッシュメモリ製造現場での減圧CVDプロセスへのAPCの適用による膜厚変動の減少と生産性の向上」に関する発表が行われた。さらに、同社は半導体製造装置が正常に機能しているかどうかをデータでチェックし、装置の信頼性や生産性を向上させるシステムである「装置エンジニアリング・システム(Equipment engineering System:EES)からのデータを用いた装置メンテナンス時の組み付け不具合の検知」のポスタ―発表で、Best Poster Award(最優秀ポスター賞)を受賞した。●ベストぺ―パ―アワードを受賞したのはルネサス○ルネサスの装置モニタリング手法がベストぺ―パ―今回のシンポジウムでベストぺ―パ―アワードを受賞したのは、ルネサスセミコンダクタマニュファクチャリングから発表された「インピーダンス測定を用いた新しいプロセス装置モニタリング手法」。装置異常の発生原因を見出すために装置を停止しておく時間(ダウンタイム)を減少させるために、装置インピ―ダンスの周波数応答を継続的に測定することにより、プラズマCVD装置に使われている部材の異常を検出する手法である(図3)。今後は長期にわたりモニタリングを行って、異常発生原因をより迅速に発見し、装置生産性を高めるという。ルネサスはこのほかウェハ内変動パターンに同期したCMP工程異常の検出についても発表したほか、オムロンからは、MEMS製造分野で、プロセス全体のPLS回帰モデルを用いて製品特性の予測制御をおこない、歩留まり向上をめざす取り組みが発表された。また、熊本大学からは「反射型レチクルフリー露光装置を用いた不均一伸縮基板対応アライメント方式の開発」、「相関法を用いた空間流の微小パーティクルモニタリング手法の開発」、「パルス光伝導法によるLSI非接触テスティング」と最多の3件の発表があった。○後工程やディスプレイ製造にAPCを適用する外国勢サムスン・グループからは、2件の発表があった。サムスン横浜研究所からは、「半導体チップ・マウンタ―のチップ位置を画像処理で実用的に推定する手法」について、Samsung Displayからは、「OLEDディスプレー製造プロセスにおけるしきい値電圧異常をモニターするための光学発光分析に基づくデ―タ駆動モデル」が発表された。Infineon Technologiesからは、「アルミ・ワイヤ・ボンディングにおけるAPCを用いたパッド・クラック検出」の発表があった。ドイツの国家プロジェクトになっている「Industrie 4.0」の一環として、後工程(組立・検査工程)におけるAPCの活用を検討しているという。マレーシアのInfineon Technologies Malaccaからは、同地で組み立てられている「車載タイヤ圧測定モニタリングシステム(圧力センサ)の検査工程で異常圧力を早期に発見するAPCの適用」に関する発表が行われた。前工程(ウェハプロセス)へのAPCの適用は今や常識となっているが、Infineonは、Industrie 4.0の実現を目指して、前工程だけではなく、後工程へのAPC適用にも世界規模で取り組んでいることを印象付けた(図4)。今回は、日本からの発表は、従来にも増して、個々の材料・装置・プロセス制御に関する発表が大勢を占め、製造ラインレベルあるいはファブレベルへの展開や、それによるトータルコスト削減やトータル歩留り向上はこれからの感があった。日本の半導体製造が競争力を持っていき残るためにも、是非とも一刻も早くファブレベルへと展開させて欲しいものだ。勘と経験に基づく製造から脱皮し、欧米台の生産技術の核となっているトータルシステムとしてのAEC/APCを活用して、プロセス制御性向上、設備生産性向上、資材費低減活動などに新たな方向性を見出し、半導体製造の国際競争力を高めるため、本会議をさらに積極的に活用することが望まれる。
2015年12月02日TowerJazzは11月17日、30Vデバイス向け9mΩ-mm2のオン抵抗の0.18μmパワーマネジメントプロセスを発表した。同社は、この低オン抵抗プロセスは現在のパワー半導体市場の40%を対象としたもので、これを用いることで、パワーICの高効率化、低消費電力、温度上昇の低減、フォームファクタの小型化に貢献するとしている。また同社は、同技術を活用することで、カスタマはチップサイズとパフォーマンスの最適化を図ることが可能となり、各社が注力するアプリケーション分野における競合他社に対する優位性を想像することが可能となり、TowerJazzとしても、IDMが独占するパワーマネジメントIC市場においてIDMと効果的に競争ができるようになるとコメントしている。
2015年11月20日富士通クオリティ・ラボは11月17日、ソフトウェア開発プロセスのコンサルティングで培ったノウハウと経験を基に、ソフトウェアの開発組織に向けた品質予測によるプロセス改善サービスの提供を開始すると発表した。同サービスは、同社の過去の開発実績データを基に統計手法を用いてソフトウェア品質の見える化を行い、利用者のソフトウェア品質向上と開発プロセス改善による組織体力強化を実現するもの。高品質なソフトウェア開発を行うには、高度な定量的分析と高成熟度な開発プロセスが必要であり、品質予測によるプロセス改善サービスでは、開発実績データを活用してソフトウェアの品質予測モデルを作成する品質予測診断と開発組織プロセスの診断により、問題解決支援を行うプロセス改善支援を提供する。同社では、先進的な統計的手法と、豊富な経験と実績を有したスペシャリスト集団のサポートにより、顧客の高品質なソフトウェア開発の実現に貢献するとしている。また、過去の開発実績データを活用し、利用者の開発組織のモデル化を行ったうえで、現状の開発プロジェクト工程ごとのデータから最終品質を予測して見える化(グラフ表示)も行う。品質目標を下回ることが予測される場合、レビュー時間、回数など、品質を確保するためのパラメータを変更して最終品質のシミュレーションを実行することで、目標達成の精度を高めることが可能となる。さらに、プロジェクト終了後には、その開発実績データを使って開発組織モデルの更新を行うことで、予測精度を向上させることができる。なお、プロセス改善支援として、プロジェクト開始前に、顧客の要望に応じて開発組織プロセスを診断し、プロセス改善のための各種支援も行うほか、プロジェクト実施中は、利用企業の運用時の問題解決支援を実施。プロジェクト終了後は、ギャップ診断やアセスメントを通じて、企業の組織体力の強化を支援するとしている。
2015年11月18日Alteraは11月16日、次世代のSoC FPGAとしてハイエンドにIntel 10nmプロセスを採用する方針であるほか、価格要求や電力要求の厳しいローエンド向けにTSMCのプロセスを採用することを明らかにした。これは同社のSenior Director, Product Marketing SoC ProductsであるChris Balough氏が語ったもので、次世代SoC FPGAとして3つのラインアップで開発が進められることが示された。Stratix 10の後継に位置づけられるハイエンド製品の開発コード名は「Altera Sequoia」で、Intelの10nmプロセスを採用することで、1000万超のロジックエレメント(LE)を1ダイ上で実現するという。コア数についてはまだ非公開とし、「ARM Enterprise-class multicore CPU System」とだけコメントするに留めている。対象とするのは、クラウド&アクセラレーションやテラビットシステム、軍事向け通信などとしており、アドバンストRASなどの機能も搭載されるとしている。Arria 10の後継に位置づけられるミドルレンジ製品の開発コード名は「Altera Oak」で、Intelの14nmプロセスを採用する。プロセッサには4コアの64ビットARM CPUを採用し、4.5G/5Gの無線通信やUHD/8Kブロードキャストビデオ、Industrial IoT(IIoT)、自動車などとしている。そして低消費電力や低コストが求められる自動車やIIoT、スモールセル基地局などを対象としたCyclone Vの後継となるローエンド製品の開発コード名は「Altera Cedar」で、TSMCのプロセスを継続して採用していくことが予定されている。Altera Cedarの採用プロセスについては、「電力とコストを重視して設計されるため、必ずしも最先端のプロセスを使うとは限らない。両者のバランスを見て考える」(同)とするに留めた。こちらは2コアの64ビットARM CPUが搭載される予定だという。ちなみに同社では、この3つの開発コード名の頭文字を取ると「SoC」になるとしているが、3シリーズが同時に提供を開始する、ということはないとしている。また、こうした製品を開発していく背景として同氏は「カスタマニーズの変化」、「半導体ビジネスの経済性」、「新技術への対応」の3つが組込業界に影響を与えるようになってきているため、と説明。そうした変化への対応をAlteraが積極的に進めている証拠と強調した。「組込業界もシングルコアからマルチコア、そしてヘテロジニアス化が進もうとしている。しかし、ASSPやASICは微細化が進めば進むほど、開発コストは増大するが、それだけの数を出荷できる市場はごくわずかで、組込機器ベンダは戦い方を変えなければならない。だからこそ我々はFine-Grained Heterogeneous Computingを標榜し、(ARMが掲げるbig.LITTLEのような)ヘテロジニアスなコンピューティングにFPGAのファブリックを提供することで、そうした変化への対応を促そうとしている」(同)とのことで、中でも自動車やロボット、産業機器分野で強みを持つ日本は非常に重要な市場になるとする。Alteraは現在、Intelが買収を行ったため、事業統合に向けた作業が進められている。そうした中でAlteraとしては引き続き、FPGAビジネスを推進し、ARMコアを活用していくこととなる。「Intelの買収発表以降、ARMへの取り組みは今後どうなる、という問い合わせを多くの人から受けてきた。今回のARMコアを採用した次世代SoC FPGAを開発しているというアナウンスは、そうした問いに答えるものだ。確かにIntelの買収により、ビジネスはより強固なものとなる。Intelとしても、公にAlteraのARMへの取り組みをサポートし、進化させていくとしており、今後もこの流れに変更はない」と同氏は、今後もAlteraがSoC FPGAにコミットしていくとしたほか、「今後とももっとも強い、優れた長期的なサプライヤとして、カスタマのニーズに対応していくことを信頼してもらいたい」とし、半導体業界が変化を遂げていく中でAlteraが良いポジションにおり、今後もさまざまな機能の統合に向けた開発を継続し、ニーズに応えられる製品群を網羅していくとした。なおIntelの14nm Tri-Gateプロセスを採用したStratix 10では、オプション的にHBM2 DRAMと統合した「ヘテロジニアス SiPデバイス(Stratix 10 DRAM SiP)」などが発表されているが、次世代SoC FPGAでもそういった製品ラインアップが存在するのかどうかは現時点では非公開となっている。ただし、「まだ何も言えないが、IntelのEMIB(Embedded Multi-Die Interconnect Bridge)テクノロジーを活用することができるので、色々な可能性があると考えている」としており、単に先端プロセスを用いて大容量のLEを搭載したSoC FPGAが出る、というだけで終わることはないようだ。
2015年11月16日東芝は8月4日、48層積層プロセスを用いた256Gビット(32GB)の3ビット/セル(TLC)3次元(3D)フラッシュメモリ「BiCS FLASH」を開発し、9月よりサンプル出荷を開始すると発表した。同製品は、先端積層技術となる48層積層プロセス技術を用いたほか、回路技術やプロセスの最適化を図ることで、従来の2次元NAND型フラッシュメモリと比べて大容量化を実現したほか、書き込み速度の高速化、および書き換え寿命の長寿命化を実現したという。なお、同製品は同社の四日市工場第5棟にて製造を開始し、2016年前半に竣工予定の新・第2製造棟でも製造を行う予定とするほか、SSDやスマートフォン、タブレット、メモリカードなどのコンシューマ製品やデータセンター向けエンタープライズSSDなどに向けて提供していく予定としている。
2015年08月04日三井住友海上プライマリー生命保険はこのたび、契約内容の各種変更に関する申し出から登録までの事務プロセスを一元的に管理・処理する新たなシステムを構築したと発表した。これにより、手続き方法のよりスムーズな案内や、契約内容変更手続きの簡素化による顧客の利便性の向上が図られるとしている。○新システムの稼働によるサービス向上のポイントそれぞれの顧客に応じた書類の送付電話での契約変更の申し出内容と契約情報を基に、変更請求書の最適なパターンをシステム判定し、それぞれの顧客に応じたオーダーメイド型の書類を送ることで、請求書類記入時の利便性の向上を図った。電話のみで完了する手続きの拡大コールセンターシステムと契約管理システムを連動させることで、電話だけで完了する手続きの種類の拡大を図った。拡大した手続き:年金種類変更(積立期間中)、年金支払開始年齢変更(繰下げ、繰上げ(ステップアップ死亡保障プランのみが対象))、終身保障移行特約の中途付加・解約(契約者と被保険者が同一の場合)(ステップアップ死亡保障プランのみが対象)、遺族年金支払特約の中途付加・変更・解約(被保険者死亡前)各種手続きのスムーズな案内を実現契約内容の各種変更に関する申し出をコールセンターで受付けた際、オペレーターの使用するシステム上に本人確認用の情報や準備してもらう書類などの情報をナビゲーション表示することで、オペレーターの熟練度によらず適切かつスムーズな案内が可能となった。保有契約件数の着実な増加に伴い、契約内容の各種変更を顧客が連絡してくる機会は飛躍的に増加しているという。こうした状況において、顧客に対するサービス品質の更なる向上を図るため、顧客連絡の唯一直接の窓口を担う「電話」受付と、「書類」を通じた以降の手続きのシームレスな連携を実現することとしたという。三井住友海上プライマリー生命保険では、今後も様々な改革を通じて企業品質とサービスの向上を進め、顧客に一層の安心と満足を届けていくとしている。
2015年08月04日